Next Article in Journal
Polycaprolactone—Vitamin E TPGS Micellar Formulation for Oral Delivery of Paclitaxel
Previous Article in Journal
Fabrication of Flame-Retardant Ammonium Polyphosphate Modified Phytic Acid-Based Rigid Polyurethane Foam with Enhanced Mechanical Properties
Previous Article in Special Issue
Mitigation of Silicon Contamination in Fuel Cell Gasket Materials through Silica Surface Treatment
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films

by
Mikhail R. Baklanov
1,2,*,
Andrei A. Gismatulin
3,
Sergej Naumov
4,
Timofey V. Perevalov
3,
Vladimir A. Gritsenko
3,5,
Alexey S. Vishnevskiy
1,*,
Tatyana V. Rakhimova
6 and
Konstantin A. Vorotilov
1
1
Research and Educational Center “Technological Center”, MIREA—Russian Technological University (RTU MIREA), 119454 Moscow, Russia
2
European Centre for Knowledge and Technology Transfer (EUROTEX), 1040 Brussels, Belgium
3
Rzhanov Institute of Semiconductor Physics SB RAS, 13 Lavrentiev Ave., 630090 Novosibirsk, Russia
4
Leibniz Institute of Surface Engineering (IOM), 04318 Leipzig, Germany
5
Automation and Computer Engineering Department, Novosibirsk State Technical University, 20 Marks Ave., 630073 Novosibirsk, Russia
6
Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University (SINP MSU), 119991 Moscow, Russia
*
Authors to whom correspondence should be addressed.
Polymers 2024, 16(15), 2230; https://doi.org/10.3390/polym16152230
Submission received: 29 June 2024 / Revised: 21 July 2024 / Accepted: 1 August 2024 / Published: 5 August 2024
(This article belongs to the Special Issue Polymer-SiO2 Composites II)

Abstract

:
Organosilicate glass (OSG) films are a critical component in modern electronic devices, with their electrical properties playing a crucial role in device performance. This comprehensive review systematically examines the influence of chemical composition, vacuum ultraviolet (VUV) irradiation, and plasma treatment on the electrical properties of these films. Through an extensive survey of literature and experimental findings, we elucidate the intricate interplay between these factors and the resulting alterations in electrical conductivity, dielectric constant, and breakdown strength of OSG films. Key focus areas include the impact of diverse organic moieties incorporated into the silica matrix, the effects of VUV irradiation on film properties, and the modifications induced by various plasma treatment techniques. Furthermore, the underlying mechanisms governing these phenomena are discussed, shedding light on the complex molecular interactions and structural rearrangements occurring within OSG films under different environmental conditions. It is shown that phonon-assisted electron tunneling between adjacent neutral traps provides a more accurate description of charge transport in OSG low-k materials compared to the previously reported Fowler–Nordheim mechanism. Additionally, the quality of low-k materials significantly influences the behavior of leakage currents. Materials retaining residual porogens or adsorbed water on pore walls show electrical conductivity directly correlated with pore surface area and porosity. Conversely, porogen-free materials, developed by Urbanowicz, exhibit leakage currents that are independent of porosity. This underscores the critical importance of considering internal defects such as oxygen-deficient centers (ODC) or similar entities in understanding the electrical properties of these materials.

Graphical Abstract

1. Introduction

Organosilicate glasses (OSGs) constitute a family of organic–inorganic hybrid materials characterized by a silica-like backbone structure. By incorporating diverse organic moieties into a silica matrix and employing various deposition techniques, the properties of these materials can be finely tuned. The potential practical applications of hybrid materials span a broad spectrum, encompassing areas such as adsorption, catalysis, microelectronics, and bioengineering. Since the late 1990s, dense and porous variants of these materials have been widely used in advanced microelectronics, stimulating further research and development efforts focused on depositing thin films and engineering their properties [1,2,3].
At present, organosilica films are deposited using chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), and hot-filament CVD (HFCVD), as well as chemical solution deposition (CSD), which involves sol–gel chemistry with deposition methods such as spin-coating and dip-coating. In the first method, the films incorporate methyl terminal groups on their pore wall surfaces. However, the processes governing film formation lack precise control due to the interaction of numerous radicals and intermediates formed within the CVD and plasma reactor. Sol–gel-based methods offer greater control over deposited material properties because the selected precursors exhibit relative stability and do not undergo deep fragmentation during processing. The reactions primarily proceed through the hydrolysis of terminal alkoxy groups followed by condensation reactions to form the molecular skeleton. Furthermore, the application of some special techniques, such as evaporation-induced self-assembly (EISA), can achieve a more uniform pore size distribution and a defined spatial arrangement [4]. In addition, some of the oxygen bridging groups (≡Si–O–Si≡) in SiO2 matrix can be substituted with carbon bridges (≡Si–CxHy–Si≡) to enhance the elasticity of the matrix material because of the higher bending rigidity of these groups [5]. These materials are termed periodic mesoporous organosilicas (PMOs).
Numerous properties of these materials have undergone extensive study and documentation over the past two decades, with a wealth of information available in various review papers and monographs [6,7,8,9]. However, unraveling the complexities of their electrical characteristics poses a significant challenge, as accurate measurement and conclusive interpretation heavily rely on factors such as deposition and curing processes, test structure preparation, and instrumentation quality. In an effort to address these challenges, we conducted a comprehensive analysis of both existing and newly acquired data. It is noteworthy that numerous recent publications have focused on the reliability and properties of integrated low-k dielectrics [10]. In our study, however, we have limited our analysis to non-integrated OSG dielectrics. This approach aims to elucidate the origin of electrically active defects prior to their modification through the integration process. To enhance clarity and coherence, the paper is structured into three distinct sections.
The first section of this paper provides a general introduction. The second section focuses on fabricating porous organosilica films, discussing deposition strategies from both gas phase (plasma) and liquid phase (sol–gel technology and spin-on deposition). It also covers methods for generating porosity, types of sacrificial porogen/templates, and UV curing of the deposited films. Furthermore, the section explores the differences between OSGs fabricated using PECVD and sol–gel methods, explaining the functions of methyl terminal and carbon bridging groups.
The third section introduces the modification of materials resulting from the utilization of various plasma systems and processes employed for micropatterning, which are crucial for practical applications. This is followed by an analysis of vacuum ultraviolet (VUV)-induced modifications, detailing the energy and mechanisms involved in bond breakage within OSG materials. Furthermore, it delves into a discussion of different types of electrically active defects.
The fourth section includes an analysis of data related to electrical properties. It is demonstrated that the matrix of OSG films containing methyl terminal groups exhibits a breakdown field similar to that of amorphous SiO2. This similarity arises from the methyl groups being exclusively situated on the pore wall surface. Moreover, the incorporation of carbon-based bridging groups, instead of oxygen bridges, into the silica matrix leads to a reduction in the breakdown field. This reduction is more pronounced when aromatic groups are used as bridges compared to alkyl chains. The breakdown field decreases as porosity increases. In most cases of porogen-based low-k materials (with a lower relative dielectric constant k than that of SiO2, where k ≈ 3.9), this reduction is attributed to a higher concentration of porogen residue in highly porous films. The porogen residue contributes to the formation of a valence band tail, and this effect intensifies after plasma treatment and/or ion bombardment.
The mechanism of leakage current was investigated using spin-on and PECVD deposited films. Previous research generally attributes leakage current to the Poole–Frenkel mechanism under low electric fields and the Fowler–Nordheim mechanism under high electric fields. However, our study suggests that the mechanism involving phonon-assisted electron tunneling between adjacent neutral traps (Nasyrov–Gritsenko model) provides a more accurate description of charge transport in OSG low-k materials. The implications of this concept are discussed in greater detail.

2. Fabricating Organosilica Films

Fabrication of organosilica films involves the synthesis of hybrid materials that combine organic and inorganic components using various deposition methods, such as the following:

2.1. Chemical Vapor Deposition (CVD, PECVD, and HFCVD)

Chemical vapor deposition (CVD) is a process in which precursors are introduced into the gas phase and then transferred to a vacuum reaction chamber with a heated substrate [11,12]. The heat provides the thermal energy required for the reaction of the vaporized precursors to form the desired layer on the substrate.
Plasma-enhanced CVD (PECVD) involves the utilization of plasma to activate the monomer precursor [13]. The vaporized precursor molecules within the reaction chamber are bombarded by unbound electrons. This process generates more unbound electrons, ions, radicals, atoms, and molecules, leading to the activation and formation of reaction intermediates. These reaction intermediates polymerize in the gas phase and/or on the sample surface, ultimately resulting in the formation of a thin film. One primary benefit of PECVD is its capability to enable deposition at relatively low temperatures while ensuring uniformity across extensive surface areas. In PECVD, a number of factors are essential for creating dielectric films of superior quality. The primary factors to consider are the temperature of the substrate, the pressure, the power of the radio frequency (RF), and the ratios of the reactant gases’ flow. PECVD is currently the preferred method in the microelectronic industry due to its ability to seamlessly integrate into the device manufacturing process.
One alternative method used for the organosilica film deposition is hot-filament chemical vapor deposition (HFCVD). HFCVD-deposited materials do not suffer from the UV irradiation and ion bombardment associated with plasma exposure. In addition, HFCVD allows for more control over precursor fragmentation pathways than PECVD. Thermal activation is limited to the gas phase, and independent control of the substrate temperature can be exercised [14].

2.2. Spin-Coating Deposition

Spin-coating is using liquid precursors for deposition of layers such as resist, spin-on-glass (SOG), spin-on-diffusion (SOD), etc. This technique is suitable for ensuring uniformity and minimizing defects in film manufacturing. The main drawback is the high consumption of a rather expensive precursor composition (3–5 mL per application).
Spin-on deposition includes the following steps: deposition, spin-up, spin-off, and evaporation [15,16]. During the first two steps, the liquid is dispensed onto the wafer and spreads out due to centrifugal forces. The spin speed at these stages is typically low (hundreds of rpm). During the spin-off stage, the spinning speed increases (up to thousands of rpm), and the liquid flows under centrifugal force. Over time, the rate of decrease in film thickness due to the convective flow slows down because the convective flow is proportional to the cube of the film thickness. At the final stage, the viscosity increases sharply, and the convective outflow stops.
A very important application of the spin-coating process is related to local planarization or gap filling. The film profile tends to smooth or planarize the substrate features [17,18]. The planarization coefficient decreases as the drying rate decreases, along with a reduction in spin speed and an increase in aspect ratio. The deposition step is followed by heating or a “soft bake” step to remove solvent and initiate cross-linking of the film at temperatures typically ~150–200 °C. Finally, sintering at temperatures ranging from 400 to 430 °C, also known as a “hard bake” or “curing”, is necessary to initiate the final cross-linking of the polymer chains, resulting in a mechanically stable film.
Although PECVD is the current deposition technique due to its better compatibility with traditional semiconductor manufacturing, spin-coating shows promise as an alternative. It is more flexible in terms of use, with many precursors based on sol–gel chemistry reactions that are not accessible in gas-phase deposition. Liquid-phase deposition is highly suitable for preparing advanced ultra-low-k (k < 2.0) materials. Finally, planarization is a highly anticipated feature for use in the back-end-of-line (BEOL) subtractive process [19].

2.3. Matrix and Precursors

Organosilica materials developed for microelectronics applications have a silica-like matrix (Figure 1a). However, some of the bridging oxygen atoms are replaced by terminal methyl (or alkyl) groups, rendering the material hydrophobic (Figure 1b). This hydrophobization is crucial because water molecules possess a very high dielectric constant (~80), and even a small amount of adsorbed moisture can drastically increase the dielectric constant of the material. This issue is exacerbated if the material is porous, as the high surface area can adsorb a significant amount of moisture. Consequently, the precursors used for the deposition of organosilica films typically contain at least one methyl group directly bonded to silicon.

2.4. Porous Materials

Reduction in the dielectric constant is achieved by incorporating chemical bonds with low polarizability, such as Si–C, C–H, C–C, Si–H, Si–F, and C–F. More aggressive reduction is needed in the low-k materials with low density, and it can be achieved through two approaches.
The first method involves increasing the free volume by incorporating space-occupying groups such as methyl, ethyl, and phenyl, which rearrange the material structure and also decrease the dielectric constant. This porosity, known as constitutive porosity, typically results in micro-sized pores (R ≤ 1 nm) but is limited to about 10–15% [6]. In chemical vapor deposition (CVD) processes, porosity can be generated by optimizing the ratio of gas phase to surface reactions. If the reactant intermediates agglomerate in the gas phase, conditions can be achieved where the deposited films have open porosity up to ~30%.
The second method involves adding sacrificial substances during the manufacturing of the low-k film [20]. These substances are co-deposited with the matrix material and can be thermally removed. External impacts such as infrared (IR) or ultraviolet (UV) light and electron beams increase the rate and efficiency of porogen removal, thereby generating porosity. This approach, known as subtractive porosity, can exceed 50%, with the pore size depending on the type, molecular weight, and amount of porogen used (Table 1) [21]. Introducing porosity reduces the dielectric constant because air has a k value of ~1.0. However, this also weakens the mechanical stability of the material. Furthermore, porosity decreases the plasma and chemical stability of the dielectric material, leading to the adsorption of impurities. This degradation results in an increased dielectric constant (k), higher leakage current, and a reduced breakdown field.
The detailed mechanism of plasma-chemical reactions occurring during PECVD of organosilica films, followed by thermal (or UV-assisted) treatment, is quite complex and depends on the type of precursor molecules, plasma conditions, and temperature. The deposited films typically exhibit Si–O–Si bonds (observed at 1000–1100 cm−1 in FTIR spectra) and SiCH3 bonds (near 1275 cm−1). The presence of porogen molecules is mainly indicated by absorption in the range of 2800–3000 cm−1. As-deposited films are also hydrophilic and contain a significant amount of silanols and adsorbed moisture, which is detected in the range of 3000–4000 cm−1, with a SiOH peak at 3700 cm−1. Thermal curing removes porogen, indicated by the reduction in hydrocarbon absorption in the range of 2800–3000 cm−1 and also indicated by changes in the indices of refraction, suggesting the generation of porosity. This structural rearrangement improves the mechanical properties by densifying the skeleton [22] and pushing CH3 groups onto the pore wall surface. Non-optimized curing can remove some SiCH3 groups, leading to the formation of dangling bonds that are then saturated by hydrogen, forming hydrophilic SiH groups [23]. Detachment of CH3 groups is one of the key issues for degradation of OSG glasses, and it will be discussed in more detail in the section related to VUV and plasma modification.
The key chemical reaction occurring during the curing is condensation of silanols
≡Si–OH + HO–Si≡ → ≡Si–O–Si≡ + H2O (condensation)
that provides the skeleton densification and hydrophobization. According to the findings reported by Gourhant et al. [24], the condensation can also involve other groups:
≡Si–O–CH2–CH3 + SiCH3 → ≡Si–O• + ≡Si• + CH3–CH2–CH3 → ≡Si–O–Si≡ + CxHy
Finally, the OSG film has to become sufficiently hydrophobic with a densified skeleton providing relatively good mechanical properties.
In the liquid phase, pores are incorporated through the addition of thermally or chemically labile precursors, pore generators, or surfactants [1,2]. These pore generators and surfactants can be removed after deposition through thermal treatment, preferably assisted by UV light, effectively leaving behind a porous structure. The excess volatile solvent keeps the surfactant concentration below the critical micelle concentration (CMC). Upon solvent evaporation following film deposition, the surfactant concentration exceeds the CMC, initiating the self-assembly of silane precursor molecules around micelles. Afterward, a thermal curing step is conducted to facilitate further condensation of the silica matrix (pore walls). The surfactant (Table 2) can be removed not only by heat treatment under an inert atmosphere via hydrothermal or evaporation-induced self-assembly (EISA) procedures but also through extraction. Mesostructured ordering, such as hexagonal or cubic structures, can be achieved through this process, which was first reported by Brinker’s group using the dip-coating method [25,26,27].
Many researchers have noted that ionic surfactants containing halogens, such as cetyltrimethylammonium chloride (CTAC) and cetyltrimethylammonium bromide (CTAB), result in minimal pore size. For instance, a CTAC-templated film with a pore size of 1.7 nm is more hydrophilic compared to films templated by Brij® L4 (also known as Brij® 30), Brij® C2 (also known as Brij® 52), Brij® C10 (also known as Brij® 56), and Brij® S10 (also known as Brij® 76), which have larger pore sizes [28]. CTAB leads to the best low-k properties and Young’s modulus compared to Brij® 76 and Pluronic® F127 [29]. CTAB, with its lower molecular weight, enables the formation of pores with a radius of up to 1.1 nm while maintaining high porosity (Vopen = 49%) compared to Brij®-type surfactants. CTAB offers advantages such as a lower CMC, improved solubility, and a higher decomposition temperature compared to nonionic surfactants [30]. Moreover, ionic surfactants provide long-range order [31], thicker pore walls, and improved chemical resistance [29]. Nevertheless, Ting et al. [32] demonstrate that films produced with ionic surfactants exhibit excess negative charges, leading to ultrahigh leakage current. Consequently, the flatband voltage of nonionic template films shifts to a more negative value with increased porosity, suggesting an increase in positive charges within porous films. The leakage current density rises exponentially with porosity in nonionic template films [32].
In summarizing the utility of porous low-k materials, it is important to note that achieving a k value ≤ 2 (ultra-low-k) requires a high level of porosity exceeding 50%. Such porous materials do not possess the necessary mechanical stability required by interconnects. Consequently, alternative materials must be identified to meet these criteria. The potentially promising materials might be periodic mesoporous organosilicas (PMOs). However, despite their good mechanical properties, the carbon-bridged PMO materials do not exhibit sufficient hydrophobicity. They adsorb moisture, which significantly degrades their k value and increases leakage current [33]. For this reason, it is necessary to introduce methyl terminal groups to achieve a sufficient degree of hydrophobicity, but in the right quantity to minimize deterioration in the mechanical properties [34]. This makes it difficult to control the performance of these materials due to the challenge of effectively adjusting the ratio of bridging to terminal carbon groups to maintain both their favorable mechanical properties and the necessary hydrophobic nature.
To effectively develop on-chip interconnections of ULSI devices (ILDs), which is the most demanding area for utilizing OSG thin films, it is crucial to have a comprehensive understanding of their fundamental characteristics [19,35].
As already mentioned, in the case of spin-on OSGs, the synthesis occurs by means of a sol–gel reaction [36]. As a starting precursor, alkoxy organosilanes or halogenated organosilanes can be used. By introducing a solvent, water, and a catalyst (acid or base), the precursor initiates the process of hydrolysis (Equation (3)) and subsequently undergoes condensation (Equation (1)). The final material can be produced using either the same starting precursors or different ones.
≡Si−OCH3 + H2O → ≡Si−OH + CH3−OH (hydrolysis)
If one of the precursors contains a carbon bridge (like BTMSE), the bridge is incorporated into the wall structure. If the EISA process is used, the final PMO films might have ordered porosity, as shown in Figure 1c, with carbon bridges in their matrix and the methyl groups located on the pore wall surface.

2.5. Basic Characterization

2.5.1. Chemical Composition and Bonds Configuration

The basic chemical composition of OSG films is analyzed using Fourier-transform infrared (FTIR) spectroscopy. These spectra clearly show the presence, absence, and behavior of bond content, which change their dipole moment upon the absorption of IR light. These bonds include polar bonds, such as Si–O–Si, Si–OH, Si–CH3, C–H3, C–H2, H–O–H, C–C, etc.
Figure 2 shows typical FTIR spectra of four different OSG films. The first film (Sample 1) is a methyl-terminated methylsilsesquioxane (MSSQ) film. Normally, PECVD OSG films exhibit similar spectra. Samples 2–4 are pure PMO films deposited without methyl terminal groups (with 100 mol% concentrations of methylene, ethylene, and benzene bridging precursors for Samples 2, 3, and 4, respectively). The most intense peaks in the FTIR spectra of all films are associated with the siloxane matrix (Si–O–Si stretching vibrations at 1300–1000 cm−1). The methyl-terminated film (Sample 1) has an intense Si–CH3 peak at ~1275 cm−1 [37,38]. Peaks associated with bridging groups are less pronounced and are mainly located in the region of 1700–1300 cm−1. These peaks appear weak in FTIR spectra due to their low concentration and significantly lower absorption coefficient compared to Si–O–Si bonds. However, the spectrum of Sample 4 exhibits the largest number of distinctive absorption bands because of the presence of bridging 1,4-phenylene (p-disubstituted) rings in its structure, particularly at ~1600 and ~1510 cm−1 [39,40,41]. The very weak band characteristic of C–H bonds in methylene bridges in Sample 2 is located at ~1360 cm−1, a position notably distinct from the peak of C–H bonds in ethylene bridges in Sample 4 (~1415 cm−1) [42]. Also, the C–C bonds of ethylene bridges absorb at ~720 cm−1 [43,44]. Some differences are also visible in the region of 3000–2850 cm−1 absorption of CH3 and CH2. The most significant observation is the increased CH2 intensity at 2950–2850 cm−1 in ethylene-bridged films. Silanol groups (Si–OH) absorb at ~950 and 3800–3200 cm−1 and are mainly observed in Sample 4. In turn, surface silanols serve as adsorption centers for water molecules, which are evident as a broad band at 3600–3200 cm−1. When a significant amount of water molecules is adsorbed by the film, the H–O–H peak at ~1630 cm−1 becomes clearly visible [45].
The bonding configuration can be evaluated through X-ray photoelectron spectroscopy (XPS) studies [46,47]. The XPS investigation focuses on analyzing the core energy levels of Si 2p, C 1s, and O 1s. For instance, an important feature can be seen in Si 2p XPS spectra (Figure 3). One can observe that the integral Si 2p peak position of the OSG film is broader and shifted to the low-energy region compared to SiO2, resembling suboxide or SiOx, where x < 2 [37]. This peak can be interpreted as containing additional compounds with valence in comparison with SiO2 (Si4+).
Deconvolution of the Si 2p peak is also conducted using four Gaussians, assigning them to the so-called M, D, T, and Q groups, which correlate with OSi(–C)3 (101.9 ± 0.1 eV), O2Si(–C)2 (102.9 ± 0.1 eV), O3Si–C (103.7 ± 0.1 eV), and SiO4 (104.4 ± 0.1 eV) configurations, respectively [47,48,49].

2.5.2. Porosity and Pore Structure

Porosity and pore structure are critical properties of OSG low-k films because they define dielectric constant and compatibility with integration processes. Traditional porosimetry techniques have limitations in thin films because of their small total pore volume. Recent methods such as ellipsometric porosimetry (EP), X-ray porosimetry, neutron scattering contrast matching, and solvent adsorption are utilized to determine pore sizes in thin, porous films. Non-intrusive methods such as small-angle neutron and X-ray scattering spectroscopy, specular X-ray reflectivity (XRR), and positron annihilation lifetime spectroscopy (PALS) are also employed. Grazing-incidence small-angle X-ray scattering (GISAXS) spectroscopy is useful for evaluating the 3D mesostructure, pore arrangement, spacing, and structural order. Different techniques offer unique insights into pore structure and demonstrate good consistency in determining pore size and porosity. Radiation scattering techniques provide information about pore size, while X-ray reflectivity (XRR) is used to measure film density. Pore connectivity is an important characteristic of low-k films, affecting the diffusion of technological chemistries. Some low-k films have closed pores that restrict positronium diffusion but allow molecules of adsorbates such as toluene, isopropyl alcohol, etc., to pass through. PALS is advantageous for analyzing extremely small isolated (closed) pores and can evaluate pore sealing efficiency and interconnectivity. EP is simple and versatile, providing quantitative information on pore morphology, size distribution, surface area, mechanical properties, and overall porosity. EP is a simple and versatile technique that provides quantitative information on pore morphology, size distribution, surface area, mechanical properties, and porosity [50].

3. Modification of OSG by Plasma and VUV Radiation

Modification of OSG dielectrics is inevitable during their manufacture and integration. As previously mentioned, UV-assisted thermal curing is widely used to remove porogen and cross-link the low-k film matrix. Additionally, producing the final integrated products requires patterning the films according to specified tasks. This patterning typically involves plasma processing, which can modify low-k films through the action of energetic ions, VUV photons, and chemically active radicals.
Patterning is a critical stage in the fabrication of integrated circuits, demanding precision at the nanometer level to cater to the needs of advanced technology nodes in ULSI. With ULSI elements shrinking to sizes in the order of tens of nanometers, the intricacy of the process becomes even more apparent. While lithography establishes the initial target size, the real challenge lies in faithfully replicating that pattern onto the functional layer with utmost accuracy. It is a sophisticated process that underscores the remarkable advancements in semiconductor technology.
The emergence of the Damascene process in the late 1990s indeed marked a significant shift in interconnect technology within the semiconductor industry. This transition was primarily driven by the replacement of aluminum (Al) with copper (Cu) as the preferred (low resistivity) metal for interconnects. Unlike aluminum, copper cannot be effectively etched using plasma, necessitating a move away from subtractive technology based on aluminum. In the Damascene process, the sequence of steps is altered to accommodate the properties of copper. Initially, the dielectric layer is deposited and patterned, and then diffusion barriers, a special liner, and seeds are deposited before the metal deposition takes place. This sequence ensures that the dielectric layer acts as a template for the subsequent metal filling step. The filling of the patterned dielectric with copper is achieved through superfilling techniques. These techniques are designed to deposit copper at a higher rate at the bottom of the trenches compared to the sidewalls. This disparity in deposition rates ensures that the trenches and vias are filled void-free and seamlessly, even when dealing with high aspect ratios. The Damascene process brought about a significant revolution in interconnect technology by enabling the widespread adoption of copper as the primary metal in advanced integrated circuits, thanks to its superior conductivity and other desirable properties. Finally, integrating low-resistivity metal with low-k dielectric reduces resistive–capacitive (RC) delay, cross-talk noise, and power consumption in integrated circuits [6].
At present, plasma etching, particularly reactive ion etching (RIE), stands out as the method best suited to meet the demanding requirements of semiconductor fabrication, especially for achieving highly anisotropic patterning. When it comes to SiO2 layers deposited using PECVD processes, the choice of plasma precursors is crucial. Typically, an optimized mixture of volatile fluorocarbons is employed. These volatile fluorocarbons play a critical role in generating fluorine atoms during plasma interaction with SiO2. The fluorine atoms then react with the silicon dioxide to form volatile etch byproducts. Notably, the natural etching of SiO2 by fluorine atoms at room temperature occurs mainly with desorption of SiF4 and oxygen, but the reaction rate is quite low and is described by the first-order kinetic equation RF(SiO2) = (6.14 ± 0.49) × 10−13nFT1/22∙exp(−0.163/kT) [A/min], with the reaction probability εF(SiO2) = 0.0112 ± 0.0009∙exp(−0.163/kT) [51]. However, when the SiO2 is subjected to ion radiation within the plasma, this process is greatly accelerated [52]. The key principle underlying anisotropic etching of SiO2 lies in the disparity between the rates of spontaneous etching and ion-induced etching. This difference is what enables the creation of highly directional, anisotropic patterns in the SiO2 layer during plasma etching processes. When fluorocarbon precursors are used, fluorocarbon polymers are deposited on the sidewalls of the etched structure, providing additional protection against lateral etching. The concentration of fluorine, carbon, and hydrogen in the precursors is crucial for achieving the optimal ratio of etching and polymer deposition. These concentrations can be adjusted based on the composition of the OSG, the intensity of ion bombardment, and the nature of the bottom etch stop layer.
OSG low-k materials have a matrix similar to SiO2 but are doped with 10–20 mol% of carbon. The carbon groups impart hydrophobic properties and reduce the density of the SiO2 matrix. In addition to this intrinsic lower density, additional artificial porosity is generated by removing sacrificial porogen, which is deposited simultaneously with the matrix. The resulting material becomes porous, which leads to a low dielectric constant (Figure 1). As already mentioned, the etch rate of SiO2 by fluorine radicals is relatively low and strongly depends on ion bombardment, which induces lattice damage and increases the quantity of fluorine atoms adsorbed onto the SiO2 surface. The quantity of adsorbed fluorine is expected to be a fraction of a monolayer on an annealed surface, a monolayer on a damaged surface, and several monolayers on surfaces where mixing or other synergistic effects are operative. The major reaction products during the interaction of SiO2 with fluorine (SiF4, oxygen, and oxyfluorides) are formed in this layer.
Methyl groups in OSG low-k dielectrics introduce additional challenges due to the low efficiency of etching organic groups by fluorine atoms [53]. The etch rate of OSG is very low in pure fluorocarbon plasma. However, it increases with the addition of oxygen due to the formation of volatile carbon oxides [38]. Although ion bombardment can complicate the etch rate, it offers benefits by densifying the etched surface, which partially seals the pores. This decrease in porosity reduces the likelihood of active species penetrating the low-k materials [21].
The porosity of the films also impacts the etch rate and mechanism. Standaert et al. [53] studied the etching of xerogel films with various pore sizes and porosities. It was assumed that the etch rate should change according to the density of the material, following a simple law:
ERnorm = (1 − PER,
where ERnorm is the normalized etch rate, ER is the etch rate, and P is the porosity. However, the actual etch behavior is more complex. For small pore sizes and 30% porosity, the xerogel etch rate is only partially enhanced by the porosity, as expected according to Equation (4). In plasmas characterized by minimal polymerization, such as CF4 or oxygen-rich fluorocarbon plasmas, an additional enhancement is observed, with a factor of up to 1.6. A similar increase is also noted in polymerizing CHF3 plasma, where the formation of fluorocarbon film on the surface is relatively low. When the polymerization of the discharge is increased, XPS analysis reveals that fluorocarbon polymers are deposited inside the pores on the xerogel pore surface. At this stage, the xerogel etching is suppressed, and the etch rate, after porosity correction (Equation (4)), falls below the SiO2 etch rate. This suppression is more pronounced for xerogel films with higher porosity and larger pore sizes.
Rakhimova et al. [54] investigated the interaction of OSG low-k films with atomic fluorine. To understand the mechanism, they excluded the effects of carbon fluorides, ions, and VUV photons by using SF6 as a downstream plasma source and a specially designed experimental chamber. It was demonstrated that fluorination of the pore walls is the fastest process of OSG modification, occurring without an activation barrier. Higher porosity and greater pore connectivity promote deep F penetration into the material and fast fluorination. The subsequent slower stage involves H atoms being abstracted by F atoms from −CH3 groups, leading to the formation of CFxHy surface species. The combined random walk and kinetic model results in the evolution of chemical modification inside the OSG films depending on the F atom dose. The effective etch probability of ultralow-k (ULK) SiOCH materials (interacting with a SiO2-like matrix) was calculated per one F atom. It was found to be close to the etch probability of dense SiO2 at P < 15%, then it significantly increased when porosity increased up to P ≈ 30%.
Hence, the etching process of porous OSG materials in fluorocarbon plasma entails a complex mechanism. Apart from considering the distinct etch rates of the SiO2 matrix and carbon-containing components, one must also take into account the complex process of fluorocarbon polymerization. Nonetheless, the plasma etch recipes for OSG low-k films have generally been understood and established. A thorough analysis of various recipes, conditions, and challenges can be found in the provided reference [38]. Presently, a critical issue revolves around plasma damage as it determines the quality and operational aspects of the final integrated devices. This concern was addressed in greater detail in the review paper [38]. One can observe that modern interconnect technology requires different etching approaches for various tasks and applications. To address this need, various modifications of plasma reactors have been developed and utilized (see Figure 4). The right picture in Figure 4 also illustrates the Si, C, and O profiles of low-k samples exposed to different etching conditions [55]. Although the results were obtained using an ICP chamber with only bottom power (BPO), top power (TPO), and mixed (T&BP) conditions, they represent conditions typical for CCP downstream and mixed regimes. These images were obtained using energy-filtered transmission electron microscopy (EFTEM). It is evident that the dark areas, indicating the formation of a carbon-free layer (plasma damage), are localized near the top surface in the case of CCP conditions, while the depth of damage extends throughout the entire film in the downstream condition. The mixed (T&BP) condition exhibits a degree of damage similar to CCP, but with a higher etch rate, demonstrating the effect of pore sealing by ion bombardment in CCP conditions. Moreover, it is apparent that the etching process can be efficiently optimized by employing the mixed (T&BP) conditions.
Posseme et al. [56] studied the etch rates of SiOCH, SiO2, and SiCH in a medium-density fluorocarbon plasma (CF4/Ar/N2). It was established that the etching of SiOCH and SiCH materials is controlled by a fluorocarbon interaction layer formed on top of the dielectrics. The etch rate of the dielectrics is significantly influenced by the thickness of this fluorocarbon layer. As the thickness of the fluorocarbon layer increases, less ion energy is dissipated in the bulk dielectric material, leading to a decrease in the etch rate. Additionally, the fluorine content in the fluorocarbon layer impacts the etch rate; a decrease in the fluorine content results in a lower etch rate because there is less free fluorine available to interact with the dielectric interface. During the steady-state etching regime of SiCH and SiOCH films, a fluorinated layer (SiCF and SiOCF, respectively) forms at the interface between the low-k material and the top fluorocarbon (CFx) layer, serving as the fluorine source for the etching reactions. The formation of the fluorocarbon layer is influenced by the plasma operating conditions, such as the source power injected into the plasma source, pressure, gas flow in the etch chamber, and the chemistry used, particularly the polymerizing properties of the gas. The addition of highly polymerizing gases such as C4F6, C4F8, or CH2F2 to CF4/N2/Ar generates a thicker fluorocarbon layer without altering the F/C ratio of the polymer. Conversely, diluting Ar in the CF4/Ar gas mixture results in the formation of a fluorocarbon layer on SiOCH and SiCH with reduced fluorine content. The reason for this effect is likely the increase in electron temperature due to the addition of Ar, which in turn increases the fluorine concentration through the reaction of Ar metastables: Ar• + CF4 → Ar + CF3 + F. The chemical composition of the low-k film also strongly influences the formation of the fluorocarbon layer. The oxygen concentration in the film leads to a thinner fluorocarbon layer and a higher F/C ratio on SiO2 compared to SiOCH. Conversely, the concentrations of carbon and hydrogen promote the formation of a thicker fluorocarbon layer and a lower F/C ratio on SiCH compared to SiOCH.

Plasma Damage

Despite meticulous patterning and integration processes, electrical characterization and reliability testing often reveal unexpected issues. This discrepancy can arise due to various factors such as microscopic material defects, interface issues, process variations, or even subtle environmental factors. Advanced techniques such as failure analysis and accelerated testing can help uncover the root causes of these degraded properties. By identifying and addressing the underlying issues, manufacturers can enhance the quality and robustness of their integrated electronic devices.
One of the most important issues is related to the so-called “plasma damage” of the etched low-k materials. Plasma damage of OSG low-k dielectrics is a complex phenomenon involving both physical and chemical effects. Chemical modifications include relatively macroscopic changes in chemical composition related to the different reactivity of OSG components (mainly SiO2-like matrix and carbon-containing groups) with chemically active plasma components. The most pronounced effects are related to the depletion of carbon concentration in oxygen-containing plasma or their fluorination. Reduction in concentration and fluorination of the carbon-containing groups make OSG more hydrophilic, and the subsequent moisture adsorption increases the dielectric constant and leakage current. Many efforts have been directed towards restoring damaged low-k materials using different types of silylation agents and chemical/plasma/UV treatments, but full restoration is generally unachievable [38].
The degradation (increase) of the dielectric constant directly correlates with changes in bonding configuration, the formation of a carbon-depleted layer, film shrinkage, and surface densification. The depth of plasma damage is mainly determined by the diffusion of active radicals (O, H, F, etc.) into the pores and the subsequent removal of organic hydrophobic groups. The penetration depth of active radicals depends on pore size, connectivity, diffusion rates, and their recombination probability. In the case of fluorine-based etch plasma, the depth of damage is influenced not only by these factors but also by the etch rate [57]. The depth of etch damage increases when the etching rate is slower than the speed of damage propagation. Therefore, utilizing fast etching recipes can help reduce the depth of damage. Additionally, intensive ion bombardment is beneficial because surface densification reduces the penetration of active radicals into the pores. Capacitively coupled plasma (CCP), especially dual-frequency CCP with controlled flows of radicals and ions, is preferred for patterning low-k materials.
A thorough demonstration of the damage features dependent on the type of plasma reactor and the mechanisms was reported by Kunnen et al. [55]. As previously mentioned, the authors utilized an ICP plasma reactor, and the experiments were conducted under three different conditions: top power only (TPO, pure ICP regime), bottom power only (BPO, CCP-like regime), and a mixed regime with both top and bottom applied powers (T&BP). These conditions revealed entirely distinct phenomena. In the BPO condition, similar to a CCP reactor, the low-k surface underwent bombardment by energetic ions. Conversely, the TPO condition generated a high concentration of active radicals, with minimal ion bombardment intensity. In TPO, oxygen radicals deeply infiltrated the pores, reaching the film’s bottom and resulting in complete carbon depletion, as can be seen in EFTEM pictures (Figure 4). The qualitative diffusion–recombination models of plasma damage by oxygen radicals have been proposed and analyzed by Safaverdi [58].
Braginsky et al. [59] conducted an extensive study on low-k damage caused by oxygen radicals generated in fast-flow RF CCP plasma using a 90% O2/10% Ar mixture at a pressure of 10 Torr. The loss probabilities of oxygen atoms through plasma-induced fluorescence were measured and analyzed. Additionally, X-ray fluorescence (XRF) and FTIR spectroscopy were employed to track the evolution of carbon and oxygen concentrations in the films over time exposed to atomic oxygen flux. Then, the removal of CH3 groups was simulated using 1-D Monte Carlo simulation, utilizing a simplified structure of regular vertical pore channels to match the porosity of the materials. It was demonstrated that in low-k films with pore sizes approaching 1–1.5 nm, the classic diffusion approach for calculating oxygen atom concentrations in nanoporous materials becomes inadequate. A more appropriate description of experimental results can be achieved by employing ideas from random walk theory. The depth of penetration of oxygen radicals is directly proportional to the pore size and inversely proportional to the sum of recombination and chemical reaction coefficients. Ultra-low-k materials, characterized by the lowest dielectric constants, inevitably exhibit higher porosity and larger pore sizes, resulting in increased pore interconnectivity. Consequently, active radicals penetrate deeper into the bulk low-k material. To mitigate or prevent damage, strategies such as sealing the uppermost layers of low-k films, depositing a thin layer impermeable to oxygen atoms on top of low-k films, and enhancing chemical modification of pore surface composition to substantially increase the surface recombination rate of oxygen atoms can be employed. Similar strategies are related to the application of pore stuffing by sacrificial polymers (P4 strategy) [60] and protection by condensed reaction byproducts at cryogenic temperatures [61]. This enhancement can also be achieved by increasing the carbon concentration in low-k films [62]. It is necessary to mention that alternative diffusion models have also been proposed. Goldman et al. [63] proposed a diffusion-based Deal–Grove type of model.
The chemical reactions between the oxygen radical and the Si–CH3 groups located on the pore wall surface start with the abstraction of H because H2C–H is the weakest bond:
≡Si–CH3 + O → ≡Si–CH2• + OH
Further reaction of ≡Si–CH2• with oxygen atoms leads to the complete loss of the methyl group and the formation of surface active sites (≡Si*) that can adsorb water, forming ≡SiH and ≡SiOH groups:
≡Si–CH2• + O → CH2O + ≡Si*
≡Si• + H(OH) → ≡SiH (≡SiOH)
Reaction of CH2O molecules leads to the formation of CO, CO2, and H2O.
Unlike O2-based plasma, H2-based plasmas exhibit varied and sometimes contradictory effects on low-k films. While some authors report no effect on low-k films, others demonstrate that plasma processes enhance the film properties, while still others indicate severe damage. The paper [64] analyzes most of the studies related to the effects of hydrogen plasma. The contradictory conclusions are related to the wide variety of plasma chambers. In the case of ICP and CCP systems, the etched surface interacts directly with ions and radicals from the plasma. In contrast, DSP (downstream plasma) systems might involve only pure chemical interactions. Modern DSP sources commonly utilize microwave technology and are typically positioned away from the wafer area, separated by a specialized grid. This grid functions to counteract electrically charged particles (electrons and ions) and also attenuates the flow of UV/VUV light. Therefore, only the hydrogen atoms interact with the wafer. The application of H radicals, typically generated from downstream H2 plasma, is indeed crucial for damage-free processing, especially in the context of cleaning low-k surfaces prior to barriers and metal deposition. However, hydrogen plasma can significantly damage OSG low-k when it is simultaneously affected by ions and UV light. The lack of damage in the DSP hydrogen process can be elucidated by referencing the findings mainly reported by Han [65], Worsley [64], Lazzeri [66], and Rakhimova [66]. They investigated the interaction between H radicals and the low-k surface, highlighting their ability to effectively remove contaminants and impurities without causing detrimental damage to the underlying material. Lazzeri et al. [66] have contributed insights into the fundamental mechanisms governing this interaction, shedding light on how H radicals selectively react with surface species while minimizing adverse effects such as etching or sputtering. By leveraging the knowledge gleaned from these studies, semiconductor manufacturers can optimize their processes to ensure efficient cleaning of low-k surfaces while preserving their integrity, ultimately leading to improved device performance and reliability. According to the findings reported by Rakhimova et al. [59], the reaction initiates with the detachment of a hydrogen atom from the ≡Si–CH3 group, forming a radical species (≡Si–CH2 + H). Subsequently, the reaction of the resulting ≡Si–CH2 radical with oxygen atoms leads to its complete destruction, while the reaction with hydrogen atoms restores the original ≡Si–CH3 group (≡Si–CH2 + H → ≡Si–CH3). This mechanism finds support in the results presented by Lazzeri et al. [66]. In their study, they exposed OSG low-k films to a deuterium plasma. Deuterium, being an isotope of hydrogen, replaces hydrogen in the low-k matrix. However, the total concentration of hydrogen and deuterium (H + D) remains constant throughout the process. This phenomenon suggests that the dangling bonds formed by hydrogen detachment from the low-k films are saturated by the reaction with deuterium, leading to the formation of ≡Si–CH2D species (≡Si–CH2 + D → ≡Si–CH2D), while the sum of (H + D) remains constant. UV light and ions promote the direct detachment of CH3 groups from Si and make this reaction irreversible.
Nitrogen and ammonia are often used during the plasma etching/stripping and metal barrier deposition (TaN, Ta, MnN, AlN, …). The addition of nitrogen to a hydrogen plasma significantly influences low-k damage, despite the fact that N radicals alone have minimal impact on low-k materials. However, the presence of a combination of N2 and H2 radicals, along with ammonia plasma, has a detrimental effect on low-k films. One possible hydrophilization mechanism involves replacing Si–CH3 bonds with hydrophilic Si–NH2 bonds, which can subsequently be transformed into Si–OH bonds through hydrolysis with ambient moisture. The formation and existence of Si–NH2 bonds have been confirmed by FTIR. The reduction in carbon content in low-k materials may also be attributed to the formation of volatile HCN molecules [67,68].
VUV light generated in plasma plays an important role. VUV photons can damage OSG low-k materials by partially or completely destroying Si–CH3 bonds, resulting in hydrophilization and the formation of electrically active dangling bonds [69,70]. However, the most presently important modifications are related to the generation of electrically active defects that cannot be identified by simple chemical analysis, such as FTIR spectroscopy.
The most important impacts of UV light on OSG dielectrics are related to change in dielectric constant, built-in charges, leakage currents, and breakdown field. Although the SiO2-like skeleton represents the essential part of the low-k materials, the presence of significant amounts of alkyl groups and organic residues, as well as porosity, brings numerous novel aspects to the UV/VUV response of these layers. VUV exposure can cause demethylation of OSG films (especially in the presence of active gases: O2, NH3) [71,72], making them hydrophilic and leading to changes in electrical characteristics.
The exposure of amorphous SiO2 insulators to VUV light results in the accumulation of a fixed charge. This process is associated with trapping of photogenerated (or injected from electrodes) mobile charge on the pre-existing oxide defects. If the photon energies exceed the bandgap width of the oxide (8.9 eV for SiO2) [73,74], positive charging caused by hole trapping is dominant [75,76,77,78]. Negative charge buildup can also be observed upon electron photoinjection and trapping in SiO2, but with a much lower trapping rate than the positive charging upon hole injection [79]. Generation of energetically deep states in the oxide bandgap, facilitating leakage current and leading to dielectric breakdown, is the most relevant for OSG low-k dielectrics.
The depth and degree of plasma damage strongly depend on the wavelength of VUV light. It has been shown that the light with a wavelength shorter than 200 nm has sufficient energy to break Si–CH3 bonds [25]. Efficiency of the bond breaking increases with decreasing wavelength, but the overall degree of damage at very short wavelengths (<150 nm) can be smaller due to the high absorption coefficient and limited depth of light penetration. This is the reason why the most damaging wavelengths correspond to the range close to 150 nm when photons have sufficient energy to break Si–CH3 bonds, and the depth of light penetration is still higher than the typical film thickness (close to ±100 nm in modern interconnect technology) (Figure 5) [80,81,82,83].
Figure 5 shows that the experimentally measured integral carbon depletion is maximal when the film was exposed to VUV light with a wavelength of 147 nm. The VUV photons in this case are already sufficient to break the Si–CH3 bond, and the absorption coefficient is still quite low, allowing the light to penetrate through the 100 nm thick films. Further wavelength reduction generates the photons that are also able to break Si–CH3 bonds, but the depth of damage is smaller because of the high absorption coefficient. So, VUV photons generated by Ar and He plasma (106 and 58 nm respectively) cause less damage than 147 nm (Xe plasma) and 13.5 nm (Sn7+ plasma used in EUV lithography). The most damaging plasma is Xe (147 nm), but light with a similar wavelength can also be generated by CF2 radicals [84], which are common components of various etch recipes utilizing different fluorocarbon precursors.
Another important characteristic of VUV damage is its quantum yield of CH3 group abstraction that depends on the material’s properties. Dependence of the quantum yield on porosity was measured by Lopaev et al. [83] using a few different methyl-terminated OSG films (Figure 6). It is clear that there is a very strong dependence on porosity, and the curve has percolation-like character. The quantum yield drastically increases at porosity close to 45%.
Unfortunately, such data were generated mainly for methyl-terminated OSG materials, and the information is very limited in the case of PMO-like OSG materials with a carbon bridge in their matrix. Some general ideas about the resistance of these materials can be demonstrated by the results of quantum chemical calculations (Figure 7). This figure shows the methylene-bridged PMO OSG film with the simultaneous presence of methyl terminal groups. The VUV-induced excitation of this molecule at 12 eV initially occurs in the singlet state Sn. The dissociation energy (Ediss) of a potential bond breaking in the model molecule was calculated as the difference between the free Gibbs energies of the molecule in the ground state and the dissociation products. After excitation into the electronically excited Sn state and relaxation into the first excited singlet state S1, followed by intersystem crossing (ISC), the triplet state was formed. This triplet state has sufficient energy to undergo different bond scissions.
One can see that the chemical bonds present in OSG films containing both bridging and terminal carbon can be separated into two different groups from the point of view of dissociation energy. As expected, the weakest chemical bonds (E < 85 kcal/mol) are represented by Si–C bonds from Si–CH2–Si bridge (R1 and R4) and the bonds between the silicon atom and the terminal methyl group (R2 and R3). The detachment of hydrogen atoms also occurs relatively easily (R5 and R6). One can see that the difference between R1 and R4, R2 and R3, and R5 and R6 reflects the influence of neighboring groups and is easily understandable. For example, R1 < R4 and R8 < R9, since the central Si atom is bonded to the hydroxyl (R10) and, therefore, has a positive charge that enhances the bonding energy with the neighboring CH2 group and the oxygen atom (R9). The bond R1 < R2 and R3, indicating that the Si bond with the bridging methylene group is the weakest in this molecule. The second group of chemical bonds has dissociation energy >100 kcal/mol and includes Si–O bonds (R8 and R9), detachment of the hydroxyl group (R10), and detachment of an H atom from the hydroxyl.
The low VUV resistance of bridging carbon groups was experimentally confirmed in the paper [42]. Moreover, it was shown that the benzene bridge has lower stability for light with λ ≥ 200 nm compared to methylene and ethylene bridges. The optical light absorption characteristics play an important role, and this will be demonstrated in the discussion below.
One crucial aspect to note is that when Si–C (SiCH3) bonds break, they leave a dangling bond on the Si atom. The subsequent behavior of this bond can vary. It may become saturated with hydrogen atoms, forming Si–H bonds through reactions with hydrogen atoms produced from detached CH3 radicals or water molecules. Additionally, the formation of oxygen-deficient centers (ODC) like ODC(I) and ODC(II) (Figure 8) is conceivable. However, in the case of low-k materials, the temperatures used are constrained by BEOL requirements, preventing the matrix from relaxing and greatly limiting the likelihood of such reactions. Furthermore, Marsik et al. demonstrated that there is an anticorrelation between the removal of CH3 and the formation of SiH groups during UV curing. This suggests that nearly all Si dangling bonds are saturated by hydrogen atoms generated from the destruction of desorbed CH3 groups.
As already mentioned, VUV light degrades the electrical characteristics of low-k materials [69,85,86,87]. The VUV photons from the processing plasma increase the intrinsic defect density and create trapped charge inside the low-k material [87,88]. During the ion sputtering process, atoms can be knocked off from the low-k material network, leading to the formation of Si vacancies, such as EX centers [89,90] or dangling carbon bonds [69,87,91,92,93,94,95,96]. These carbon-related defects contribute to increased leakage [97]. In addition, the formation of surface oxygen-deficient centers (vacancies) on the pore wall may lead to the formation of sub-gap surface states at 5.0 and 7.2 eV. Atomic defects such as non-bridging oxygen hole centers (NBOHCs) and oxygen vacancies (E’-centers) have extensively been studied by electron spin resonance (ESR) spectroscopy (Figure 8) [25,96].
ESR spectroscopy allows us to identify only paramagnetic defects like E’, POR, and NBOHC. Meanwhile, in the case of SiO2, important contributions to electrical characteristics are made by diamagnetic oxygen-deficient centers ODC(I) and ODC(II). Normally, they can be identified using UV-induced luminescence and characterized by emission peaks with energies of 3.1 and 4.3 eV (ODC(I)) and 2.7 and 4.4 eV (ODC(II)). The luminescence from differently deposited SiO2 layers has been reported in many papers, and these peaks are always attributed to ODC [25,96]. However, most of the results are related to SiO2 layers fabricated at high temperatures.
Recently, the UV-induced photoluminescence (PL) of a mesoporous organosilica low-k dielectric with an ethylene bridge was studied [98], and the observed peaks were interpreted as related to the formation of oxygen-deficient centers ODC(I) (≡Si–Si≡) and ODC(II) (=Si:) centers (Figure 8f,g), similar to those observed in pure SiO2 [99,100]. It was assumed that these centers can be correlated with the character of leakage current studied in ref. [101]. However, another recent luminescent study, based on the evaluation of various OSG dielectrics with different porosity and chemical composition [102], demonstrated that the origin of the observed luminescent bands can be related to the film’s components rather than solely to the presence of oxygen vacancies. Therefore, it is not always straightforward to explain the degradation of electrical properties with the formation of oxygen vacancies.
There are several factors that differentiate the response of low-k insulators to UV/VUV exposure from that of a-SiO2 [25]. First, most of the SiO2 films reported in the literature with reliable identification of these defects are high-temperature versions of amorphous SiO2, synthesized either by thermal oxidation of silicon or from a synthetic silica melt. These materials possess a relaxed network structure with a relatively narrow distribution of Si–O–Si angles around an average value of 144°. In contrast, the processing temperature for low-k materials is limited by BEOL (back-end-of-line) requirements to below 450 °C, which is too low to allow the network to relax. This factor results in a high concentration of network configurations with extreme bonding angles, which are expected to be more prone to chemical reactions [103], including those with hydrogen released under UV/VUV illumination conditions from electrodes of the low-k material itself. The limited thermal budget is especially a key issue for sol–gel-based films. Sol–gel chemistry uses stable precursors, and their polymerization is only related to hydrolysis and further condensation with the formation of Si–O–Si or Si–R–Si bridges and methyl groups located on the pore wall surface. Potentially, if Si–CH3 bonds are broken by VUV light, the formed E’-defects can be considered as potential precursors for the formation of ODC centers. However, at temperatures below 450 °C (the temperature used for curing low-k materials), these defects are immobile, and there is insufficient energy for structural relaxation.
Second, the low-temperature SiO2-like matrices in OSG low-k are prepared in the presence of organic templates, porogen precursors, or by using spin-coating. They are usually OH-rich as opposed to the a-SiO2 films thermally grown on silicon or fabricated by O-ion implantation into Si crystal. The latter are usually O-deficient and exhibit characteristic ESR signature of this deficiency—the well-known E’-centers [104,105,106,107,108]. This difference can clearly be seen from the ESR spectra (Figure 9) taken from the “conventional” PECVD a-SiO2 (Figure 9a) and two OSG low-k dielectrics: spin-on deposited nano-crystalline silica (NCS) (Figure 9b) and porous UV-cured CVD-processed “black diamond” (BD, labeled as CVD1 throughout this paper) insulator prior (Figure 9c) and after He ion bombardment (Figure 9d) [109]. While the CVD-SiO2 shows not only the E’γ-line at g = 2.0005 with a characteristic powder pattern stemming from dangling bonds of silicon atoms in an a-SiO2 matrix, but also the 72.5 G doublet associated with the presence of one hydrogen atom in the back-bond of the kernel Si atom, neither NCS nor CVD1 materials exhibit these O-deficiency features. Even after extended VUV ( = 10 eV) exposure, no detectable E’γ signal can be traced in these samples. Similar observations were also made on other low-k insulators ranging from spin-on glass to self-assembled dielectric layers [91,92]. Only after sputtering, a “new” ESR signal at g = 2.00247, which can be identified as an EX-center representing a Si vacancy in an a-SiO2 matrix [89,90], clearly points towards O-enrichment in the low-k oxide case. Later, high-resolution ESR analysis [88] revealed an additional component of the ion-bombardment-induced signal tentatively associated with the formation of dangling bond defects in oxycarbide clusters. This association was made because a similar signal has also been found in a-SiOC matrices.
It has been reported [110,111] that dielectric failure times and charges to breakdown decrease for VUV-exposed low-k dielectrics. ESR results from Ren et al. [112] indicate that VUV photon irradiation generates additional defects and twisted bonds in the structure. Other literature reports also discussed increasing defect densities and leakage currents after VUV exposure [69]. Sinha et al. [87] suggested that photon irradiation generates trapped charges that may lead to reliability issues. Afanas’ev et al. [88] studied the nature of the defects generated during ion bombardments using three discharging gases: H2, He, and Ar. It was found that ion sputtering causes knock-offs of atoms from the low-k material network, leading to the formation of Si vacancies or dangling carbon bonds. These defects contribute to the increased leakage. Furthermore, the results of King et al. [97] show the generation of surface oxygen vacancies, likely resulting from the removal of terminal organic groups after Ar+ sputtering, with two related sub-gap surface states observed at 5.0 eV and 7.2 eV. Nichols et al. [111] also studied the effect of ion energy in the plasma reactor and found that the increase in ion energy resulted in higher leakage currents and reduced breakdown fields. They attributed this phenomenon to the formation of an oxide-like layer caused by the loss of carbon near the film surface. It was further suggested that defects are more easily generated within this oxide-like layer. Sinha et al. [87] proposed that ion bombardments cause ions to adhere to the dielectric surface, leading to charge accumulation that negatively impacts reliability.

4. Electrical Properties

4.1. The Optical Properties and Bandgap of Organosilica Films

An important characteristic of dielectric materials is their bandgap. While the bandgap of porous OSG low-k dielectrics featuring terminal methyl groups has been investigated by multiple researchers using diverse methodologies, certain aspects remain inadequately elucidated. Specifically, these unresolved matters pertain to the intrinsic defects’ nature, their source, and their influence on the bandgap as well as electrical properties. The electron energy loss spectroscopy measurements [113,114] determined the OSG low-k dielectric bandgap to be 8.5 eV and 10 eV, respectively. The results obtained using reflection electron energy loss spectroscopy [97], ellipsometry [23], VUV spectroscopy [115], and X-ray photoelectron spectroscopy [116] show that the bandgap of porous OSG dielectrics with k = 2.0–3.3 ranges between 7.5 and 10 eV. These values are quite close to those of amorphous SiO2, which range between 8.0 and 9.0 eV [117,118]. Moreover, the barrier height at the interfaces of low-k/metal (Ta, tantalum) and low-k/Si was determined to be 4.5 eV according to internal photoemission experiments [109,119]. This also proves that the bandgap of most PECVD OSG dielectrics is similar to that of SiO2. It confirms that the carbon in methyl-terminated low-k films is not incorporated into the matrix. If the carbon-containing components are present in the network as Si–C–Si-like bridging groups, the bandgap value would drop dramatically. The barrier height at the copper/low-k dielectric interface also depends on the amount of network carbon in the film and ranges from 1 to 4 eV [120].
These results allow us to expect that the bandgap of OSG films with carbon bridges incorporated into their matrix can be different. As previously mentioned, the majority of films currently employed in the microelectronics industry are methyl-terminated OSG deposited via PECVD techniques. These materials resemble silica, with certain oxygen atoms in the silica matrix substituted by two methyl groups (≡Si–O–Si≡ → ≡Si–CH3 … CH3–Si≡) [7]. It diminishes the film’s density and exacerbates the mechanical properties, which are vital for its integration into ULSI devices. The necessity to enhance the mechanical properties and reliability of low-k dielectrics has driven extensive development and research into materials featuring various types of carbon bridges between silicon atoms [5,29,121,122,123,124,125]. Substituting the oxygen bridge with carbon leads to enhanced mechanical properties owing to the greater bending rigidity of the ≡Si–C–Si≡ bonds compared to the ≡Si–O–Si≡ bonds. The application of EISA [126] employing carbon-bridged alkoxysilane precursors has allowed the production of PMO with ordered porosity and the formation of hydrocarbon bridges within the film matrix. Extensive evaluations have been conducted on their properties, including the mechanical properties, thermal, chemical, and VUV resistance of various carbon bridges [39,42].

4.1.1. Optical Properties of Various OSG Materials

To the best of our knowledge, the optical properties and bandgap measurements of PMO films with various carbon bridges have not yet been reported in the scientific literature. Therefore, to analyze the expected trends when introducing different carbon groups into the OSG matrix, we conducted quantum-chemical calculations of the optical properties of various OSG materials (Figure 10). The presented data were calculated using the density functional theory (DFT) PBE0-D3/6-31G** level of theory as implemented in the Jaguar 9.6 program [127,128,129,130].
Figure 10a displays the absorption spectra of a SiO2 (1a) fragment alongside fragments of methyl-terminated OSG matrices with one (2a) and two (3a) methyl groups (refer to the top line of Figure 11). It is evident that the incorporation of methyl terminal groups barely alters the absorption spectra, maintaining an optical bandgap close to 8.2–8.3 eV. These findings align with the aforementioned experimental results. Conversely, a more pronounced change in the optical bandgap is observed with the introduction of bridging carbon-containing groups (Figure 10b). The films with methylene and ethylene bridging groups exhibit values close to 6.8–6.2 eV for the optical bandgap. Structures featuring benzene bridges demonstrate a more significant reduction in the bandgap, reaching values close to 5.5 eV (hyperconnected structure) [125] and 5.0 eV (linear bridge).

4.1.2. Change of Optical Characteristics during UV Curing

Figure 12 shows the evolution of the optical characteristics of PECVD OSG films with methyl terminal groups after broadband UV-assisted thermal curing (λ ≥ 200 nm, Ta = 430 °C in nitrogen) measured using UV ellipsometry. One can see that the index of refraction and the absorption coefficients are changing simultaneously. Change of refractive index is related to change of porosity because of porogen removal. The full porosity was calculated using the Lorentz–Lorenz equation:
P = 1 n 0 2 1 n 0 2 + 2 / n m 2 1 n m 2 + 2 ,
where P is porosity, no and nm are the indices of refraction of porous films and matrix, respectively. The results of porosity calculations for films with different curing times are shown in Figure 13. The graphical representation unequivocally demonstrates that films attain maximal porosity at the optimal curing time, denoted as T, which depends on the temperature and UV light (both intensity and wavelength) and is usually about a few minutes. At 0.2 T and 0.5 T intervals, porosity remains comparatively lower due to the remaining porogen within the pores. Conversely, overcuring instances (2 T and 5 T) lead to diminished porosity as a consequence of micropore collapse. Notably, the absorption coefficient’s behavior warrants scrutiny. Instances of suboptimal curing durations (0.2 T and 0.5 T) exhibit conspicuous peaks around 6.5 eV, with the additional presence of a peak at 4.5 eV in films subjected to 0.2 T. Overcuring phenomena (2 T and 5 T) notably diminish the prominence of the 6.5 eV peak, while accentuating the peak at 4.5 eV. The nature of these peaks in OSG films was investigated and clarified by Marsik et al. [23,131]. They aimed to comprehend the origin of absorption within the 4 to 8 eV range. The dedicated experiments involving the deposition of pure porogen (α-terpinen) onto a clean Si wafer without the low-k material have been carried out. Subsequently, they scrutinized the spectral evolution under UV exposure conditions mirroring those encountered during the curing of OSG low-k films. Indeed, the experiments conducted with pure porogen facilitated the inference that the observed absorption peaks within the 4–8 eV range in OSG films may not be attributable to defects within SiO2, as is commonly interpreted [99,132]. Marsik et al. observed identical peaks and demonstrated that the sp3 carbon originating from pristine porogen transforms into sp2 carbon under VUV radiation, and they are also discernible in FTIR spectra, indicating the formation of C=C bonds. These bonds are construed as porogen residue—the carbon-rich residue formed due to the dehydrogenation of the porogen polymers. It is noteworthy that the most intensive formation of this residue occurred when light with wavelengths shorter than λ ≤ 190 nm (e.g., λ = 172 nm) is utilized. This residue detrimentally affects the dielectric properties of OGS films, elucidating why broadband UV light with wavelengths exceeding 200 nm is commonly preferred, notwithstanding its comparatively lower kinetic efficiency. The same peaks also manifest during the curing of porogen-based OSG low-k films. Consequently, it can be inferred that these peaks are associated with the porogen, with the peak at 6.5 eV corresponding to sp3 carbon (pristine porogen), and the peak at 4.5 eV corresponding to sp2 carbon (referred to as porogen residue—an amorphous carbon-like residue that significantly affects leakage current). Optimizing the curing process is crucial, as repeatedly suggested, considering the evident adverse effects of overcuring [133]. However, entirely preventing the formation of porogen residue in a standard low-k film fabrication procedure (deposition → UV-assisted thermal curing) is likely not feasible. An alternative technology proposed by Urbanowicz allows for the fabrication of films without any porogen residue [134]. This technology is based on the porogen removal by atomic hydrogen before UV-assisted thermal curing, and the properties of the obtained films will be discussed later.
The data presented in Figure 12c corroborate the conclusion that the emergence of absorption peaks within the 4–8 eV range in the investigated OSG films is associated with the presence of porogen and its dehydrogenated residue. The film with k = 3.0 was deposited without a porogen and exhibits only open porosity of about 6% due to the presence of methyl terminal groups. It can be observed that there are no peaks at 6 and 4.5 eV in the absorption spectra. However, these peaks appear in the films with k = 2.5 (P = 23.5%) and k = 2.3 (P = 38%) because these films were deposited with a porogen. Furthermore, the film with k = 2.3 was deposited with a higher porogen concentration and therefore has a more pronounced concentration of porogen residue. It is important to observe that upon comparing the experimentally obtained absorption spectra depicted in Figure 12 with the theoretical spectra shown in Figure 10, the experimentally evaluated OSG films exhibit an extended absorption tail reaching up to 2 eV that was not visible in the calculated spectra. This indicates that, besides the clearly defined sp2 and sp3 carbon structures, the materials also contain additional carbon residues with indistinct compositions. Subsequent discussions will demonstrate that analogous conclusions were drawn based on other experimental analyses.

4.1.3. Defect States in the Bandgap of Methyl-Terminated OSG Films

The optical properties of intrinsic defects in SiO2 are well studied and documented in different publications and summarized, for instance, in the review papers by Skuja [99] and Griscom [132]. Building upon the concepts outlined in previous studies and anticipating the similarity between silicon dioxide (SiO2) and OSG, King et al. [97] conducted a comprehensive examination of the potential formation of ODCs. This investigation utilized reflection electron energy loss spectroscopy (REELS) to provide detailed insights. They measured the bandgap and energy of sub-gap defect states for both non-porous and porous low-k materials deposited by PECVD. The dense low-k (k = 2.8–3.3) was deposited at 400 °C, while the porous films (k = 2.3) were deposited at 280 °C. Then, all these films were UV-cured at 400 °C. The measured bandgap of the non-porous low-k was approximately 8.2 eV. Ar+ sputtering of the non-porous film created sub-gap defect states at ~5.0 and ~7.2 eV. The porous low-k shows a slightly smaller bandgap (7.8 eV) and a broad distribution of defect states ranging from 2 to 6 eV. These defect states were attributed to a combination of both oxygen-deficient centers (5.0 and 7.2 eV) and carbon-based porogen residues (2–6 eV). One can see that all these observations are similar to the data presented in Figure 10 and Figure 12, with the only difference being that the separate peaks in our work were 4.5 and 6.2 eV, and they were assigned to sp2 and sp3 coming from porogen residue (Table 3). The obtained information can be plotted as band alignment for OSG low-k/barrier structure (Figure 14). One can see that the defect states related to the presence of ODCs and sp2/sp3 carbon are located very close to each other and have significant overlap, making it very difficult to distinguish their impact on electrical characteristics.

4.1.4. Effect of Porosity on the Bandgap

Dependence of the bandgap on porosity and its degradation after ion sputtering was reported in ref. [135]. Using PECVD-deposited methyl-terminated OSG films with different porosity, the bandgap was measured by using core-level X-ray photoelectron spectroscopy (Table 4). The bandgap of pristine samples is almost independent of porosity. Sputtering of low-k surface by ion bombardment (Ar+ ions 4 keV, 15 mA/cm2, 30 s) reduces the bandgap from 8.0–8.3 eV to 6.1–6.8 eV depending on porosity. Changes in chemical composition and defects generation were studied using XPS and ESR spectroscopies. It was concluded that ion-induced defects in OSG low-k materials are oxygen/silicon vacancies, similar to the oxygen-deficient centers (ODCs) and silicon dangling bond centers found in bulk SiO2, along with carbon dangling bonds originating from carbon silicide clusters within the material. The predominant peak in ESR spectra is characterized by a g-factor of 2.0006 and a linewidth of 2 G. These have been attributed to surface oxygen vacancies (SOV), in which the defects are usually positively charged in the paramagnetic state [94]. The other signal at g ≈ 2.0026 with an approximate linewidth of 7 G is identified as related to carbon dangling bonds (CDB) back-bonded to C or Si atoms [88].
A similar tendency of bandgap reduction with porosity was demonstrated by Van Besien [136] (Figure 15), who studied several PECVD low-k films deposited using the same matrix precursors and the porogen, and also in the papers published by Grill [137,138]. All samples were thermally cured at 430 °C with broadband UV light (λ > 200 nm). The electrical characteristics were measured by using a test structure based on metal–insulator–semiconductor (MIS) planar capacitors [139].
As previously discussed, surface oxygen vacancies have been identified as potential factors contributing to the formation of sub-gap surface defects, observed at approximately 5.0 and 7.2 eV. These defects reside in the upper portion of the bandgap and are situated near the conduction band minimum (Figure 16), while the silicon dangling bonds possess a highly localized state near the mid-bandgap, lying near the isolated sp3 or π hybrid energies, which will not contribute to the states below the Fermi level [140]. As a result, additional electron states near the valence-band maximum most probably can be attributed to the carbon-related defects created during ion sputtering, which can give rise to “deep” energy levels near the valence band in the bandgap of the SiO2-like skeleton. For example, the excessive carbon dangling bonds at the interfaces result in electron states distributed in the lower half of the oxide bandgap; also, electron states associated with CHx layers can be found in the same energy range [141,142].
On the other hand, the bandgap narrowing is actually related to the amount of carbon in the films. Grill has reported significantly reduced optical bandgaps (Eopt) ranging from 3 to 6 eV for low-k SiOC:H dielectrics [137,138]. These measurements, based on spectroscopic reflectance, clearly showed a correlation between Eopt and the carbon content present in the SiOC:H films.
The largest bandgap reduction of 2.2 eV occurred in those a-SiCOH films (k = 2.2) that were deposited with the highest porogen concentration and exhibited the highest concentration of carbon dangling bonds. This is supported by the highest intensity ESR signal (g = 2.0026) after ion sputtering. Hence, it seems logical to conclude that the carbon-related defects have the most important contribution to the bandgap narrowing in porogen-based OSG films (Figure 16).

4.2. The Leakage Current

The change in leakage current in porous OSG low-k dielectrics is more complex compared to dense dielectrics. The primary reason for this complexity is their porous structure. The electrical conductivity significantly depends on porosity because the pore wall surfaces can accumulate various conductive impurities, which influence the observed phenomena. Only careful fabrication of these films, preventing the accumulation of impurities on the pore walls, allows for an accurate analysis of the leakage current mechanisms through the low-k matrix.

4.2.1. Effects of Porosity, Porogen Residue, and Adsorbed Moisture

Figure 17 depicts the change in leakage current versus the applied electric field in different low-k films (Table 5). Accurately gathering data to reveal the precise mechanism of leakage current poses a complex challenge. A special structure known as the planar capacitor (p-cap) was prepared and used for these measurements [139,143]. The p-cap offers distinct advantages over other simpler quick-turn monitors (QTMs) due to its hermetically sealed environment, which safeguards the test material from damage during electrical probing. Consequently, p-caps serve as precise QTMs for assessing new materials, streamlining the process with fewer steps, and facilitating quicker access to information.
It is evident (Figure 17) that the leakage current of the most porous CVD3 films increases at the lowest applied electric field (Table 5). This increase in leakage current can be attributed to the highest porosity generated in the film, achieved by depositing it with the highest porogen concentration. This concentration left behind the highest amount of porogen residue after UV-assisted curing [144].
Porogen residue (sp2-hybridized carbon) is formed during UV curing, and it is deposited on the pore wall surface. It increases the leakage current and decreases the breakdown voltage of low-k materials. The amount of porogen residue increases with the increasing porosity of PECVD low-k films due to the higher internal surface area and a larger amount of co-deposited porogen. The electrical characteristics of PECVD ultra-low-k (ULK) films are significantly worse compared to organic low-k materials and SOG low-k films prepared without porogen by self-assembling of nanocrystalline silica because they were deposited without porogen (Figure 17b). Wu et al. [145] showed that the leakage current in this low-k dielectric remains constant regardless of temperature within the high electric field range. A barrier height of 4 eV at the low-k/metal (Ta) interface is calculated using the theory of FN tunneling.
Another surface compound that increases the leakage current is adsorbed water. Plasma damage or non-optimized UV curing can lead to the partial reduction of methyl terminal groups. The resulting dangling Si bonds can then adsorb water molecules or become saturated by hydrogen atoms formed due to the destruction of methyl radicals. The formed SiH groups are also hydrophilic and can accumulate adsorbed water, which is clearly visible in FTIR spectra and has been demonstrated numerous times. Removing adsorbed water is challenging because different adsorbed forms require annealing at temperatures exceeding 600 °C, which is comparable to the thermal stability of low-k materials themselves [21]. As a result, complete thermal restoration of the electrical characteristics of degraded low-k films is almost impossible.
The results reported above suggest that the pore wall surface and its contamination play a crucial role in the leakage current. This statement was reported in many publications [6,7,10,21] and is clearly demonstrated in Figure 18 [146]. Using different curing procedures and consecutive chemical analyses, Krishtab et al. [146] demonstrated that the most significant factor influencing the leakage current is adsorbed water, followed by the template (porogen) residue. However, their relative impact obviously depends on the concentration of these impurities. Efficient removal of adsorbed water and porogen residue leads to achieving minimal leakage current.
This conclusion is in agreement with the results obtained by Vanstreels et al. [147], who reported quite different observations. They studied porogen residue-free films using the technology reported by Urbanowicz [148]. After co-deposition of matrix material and porogen, an H2 downstream treatment was applied with a special setup to avoid VUV exposure of the low-k surface before UV curing. Hydrogen atoms transform the porogen chains into volatile molecules, enabling the complete removal of the porogen without breaking the Si–CH3 bonds. This results in residue-free low-k dielectrics with enhanced mechanical properties attributed to a more cross-linked network. The uniqueness of these films lies in the complete removal of porogen by hydrogen atoms before UV curing, rendering them completely porogen residue-free. Moreover, the films are highly hydrophobic, allowing the exclusion of the impact of adsorbed moisture. It has been reported that in these films, with porosity ranging from 30% to 50%, the leakage current is independent of porosity (Figure 19), leading to the conclusion that the pore wall surface no longer plays a key role. In this case, the leakage current is defined by different factors, possibly including the presence of ODC centers, and is much lower than in ordinary porogen residue-containing PECVD films. This fact suggests that the influence of all conducting factors other than porogen residue and adsorbed water is much lower. Chen Wu also studied these films with extended porosity range of 10–45% [149]. The tunneling current of films with higher porosity, as described by the FN model, was significantly lower than in PECVD films prepared using traditional technology. This indicates a better matrix quality with a lower defect density. FTIR analysis results validate that films with high porosity exhibit a greater number of network bonds.
Therefore, the conductivity becomes independent of porosity and primarily depends on defects in the matrix. Here, ODC can be considered as possible candidates defining the leakage current. However, the mechanism of their formation is completely different than in high-temperature oxides due to the very low thermal budget dictated by interconnect technology requirements. The temperatures involved are much lower than those typically needed for matrix relaxation (≥1000 °C), which is a key requirement for ODC formation. A possible mechanism of their formation can be related to the removal of methyl groups from micropores that collapse during the overcuring (Figure 13) [133]. In this case, the silicon atoms’ dangling bonds formed by CH3 groups’ removal are located at a short distance due to the negative curvature of micropores. The increase in reactivity of chemical groups (hydroxyl groups) in pores with a small diameter has already been studied experimentally and discussed [150,151].
Other theoretical models have also been developed to understand the effect of porosity. Kayaba et al. [152] calculated the magnitude of electrical fields in porous SiCOH dielectrics and demonstrated that the highest field strength corresponds to the air/skeleton interfaces. Hong et al. [153] utilized finite element and Monte Carlo simulations to investigate the electrical field and conduction mechanisms within porous low-k films with varying shapes and interconnectivities of pores. The local enhanced fields increase with porosity, leading to poorer insulating properties. Lee et al. [154] also found similar results, suggesting that pore-related field enhancement facilitates the movement of charged species and bond breakage.
Ogawa et al. [155] utilized the percolation model to understand the influence of porosity in low-k dielectrics. In this model, dielectric breakdown occurs when a single column of defective cells forms between electrodes. It is shown that pores reduce the time required to generate a percolation path, decrease the breakdown strength, and lower the Weibull shape parameter [156].

4.2.2. Leakage through the Low-k Matrix

In OSG films prepared without porogen residue and adsorbed moisture, the conductivity depends on the matrix properties [147]. According to current concepts [157], the conduction mechanisms of dielectrics are classified into contact-limited and bulk-limited types. Contact-limited mechanisms include the Schottky effect [10], thermally facilitated tunneling at the contact [158], and the Fowler–Nordheim effect [159], as illustrated in Figure 20a–c [160,161].
The Schottky effect involves the lowering of the potential barrier at the metal–dielectric boundary due to image forces, as shown in Figure 20a. This effect is observed at high temperatures, in weak electric fields, and when the barrier values are small. Thermally facilitated tunneling at the contact, illustrated in Figure 20b, occurs when an electron transitions to an excited state due to thermal energy and subsequently tunnels into the conduction band. The Fowler–Nordheim effect, depicted in Figure 20c, occurs when an electron tunnels through a triangular potential barrier. This effect is observed in strong electric fields, at low temperatures, and when the potential barrier values are large.
The Frenkel effect consists of lowering the Coulomb potential of an isolated trap in an electric field (Figure 20d). This effect is observed with a low trap concentration [162]. The Hill–Adachi model of overlapping Coulomb centers has a place at a high trap concentration, so that the trap ionization probability is described by the Pool law: lg(J)~F (Figure 20e) [163,164]. In the Makram–Ebeid–Lannoo model of multiphonon trap ionization, the electron goes into an excited state and then tunnels into the conduction band (Figure 20f) [165]. This model is implemented with a low trap concentration. In the Nasyrov–Gritsenko model of phonon-assisted tunneling between neighboring traps, an electron from an excited state tunnels to an adjacent trap (Figure 20g). This model is applicable at high trap concentrations [166].
An extensive study of methyl-terminated PECVD OSG films, developed for industrial BEOL applications, was conducted using planar capacitor techniques [139,143]. These studies have led to the conclusion that Poole–Frenkel (PF) emission is predominantly the conduction mechanism in low-k dielectrics at low fields [167,168], while Fowler–Nordheim (FN) tunneling conduction is valid at high field ranges [145,169]. Moreover, leakage currents can be used as a direct measure of dielectric structure quality, allowing the examination of the influences of deposition conditions and integration processes.
Recently, spin-on deposited PMO low-k materials with a carbon bridge in their matrix, as well as methyl-terminated spin-on deposited OSG and PECVD OSG, have been studied using capacitors with Mg electrodes and doped Si with a continuous Al contact deposited on the backside of the silicon substrate [101,170,171]. An important feature of these films is that they were cured without using UV and VUV light, and the concentration of adsorbed moisture was carefully reduced through thermal annealing before the measurements. By using these procedures, we aimed to study the low-k matrices without the impacts from porogen residue and adsorbed moisture. For three low-k dielectric synthesis technologies, an exponential increase in current density was found with an increase in temperature from 300 K to 350 K at fixed high electrical fields. This indicates that charge transport through low-k dielectric films cannot be correctly described by the FN mechanism, since this mechanism does not take temperature into account.
It is shown that experimental current–voltage characteristics measured at different temperature (I-V-T) curves for OSG low-k dielectrics synthesized by the abovementioned technologies can be described by the Frenkel model with free variation of model parameters. However, the agreement between the theoretical simulation and the experiment is achieved only when using the non-physical value of the pre-exponential parameters ν or Nt, as well as the greatly overestimated dielectric constant value ε (Equation (9)). By using the ν = W/h ≈ 1014 s−1, when the trap ionization energy W is about 1 eV, the I-V-T curves calculated within the Frenkel model describe the experimental ones using the unphysically low trap concentration Nt ≈ 102–1011 cm−3. And vice versa, by using typical physically justified Nt values under simulation, it is necessary to use unphysically low ν values ~102–108 s−1. In addition, fitting the theoretical I-V-T curves to experimental ones gives values of ε = 6–32, whereas a reasonable value for low-k dielectrics hardly exceeds 2 (ε = n2 = 1.6). Thus, the Frenkel model can describe the charge transport in the OSG low-k dielectric only formally, namely without quantitative agreement of the model’s parameters.
The same statement also turns out to be valid when describing charge transport in OSG low-k dielectrics by the Hill–Adachi model of overlapping Coulomb potentials of neighboring traps, as well as the Macram–Ebeid and Lanno model of isolated neutral trap multiphonon ionization to the conduction band [163,164,165]. In the first case, the agreement of the calculated I-V-T with the experimental ones is achieved only when using an unphysically small attempt-to-escape factor value ν; in the second case, when using the trap concentration value that lies beyond the model applicability. It was shown that the most consistent model describing the experimental I-V-T curves for OSG low-k dielectrics synthesized by three technologies is the phonon-assisted electron tunneling between neighboring neutral traps proposed by Nasyrov and Gritsenko (Figure 19a). The current density in this case is represented by the equation [166]:
J = 2 e N t π W t m * a 2 k T W o p t W t × e x p W o p t W t k T e x p 2 a 2 m * W t s i n h e F a 2 k T
Here, Wt—thermal trap energy, Wopt—optical trap energy, which is 2 × Wt. It should be noted that the Wt value is unambiguously found in the N-G model from the ln(J)-F temperature shift, as well as Nt is set by the ln(J)-F slope.
It is established that for PMO carbon-bridged films, the Wt = 1.6 eV. This value, as well as Wopt = 3.2 eV, coincides with the corresponding energy of the trap responsible for charge transport in SiO2 [172]. Since the traps with the specified Wt and Wopt values in SiO2 are Si–Si bonds (i.e., oxygen vacancies), one can assume that the traps in PMO carbon-bridged low-k dielectrics are Si–Si bonds too. It is noteworthy that the N-G model refinement, by taking into account the space charge through the Poisson equation and charge carrier kinetics through the Shockley–Reed–Hall equations, does not lead to a change in the theoretical Wt and Wopt values. The N-G model refinement only unprincipledly reduces the m* to 0.4 me and increases the Nt to N = 3 × 1021 cm−3.
The charge transport mechanism in the spin-on deposited methyl-terminated OSG low-k dielectrics is described by the N-G model with Wt = 1.2 eV and Wopt = 2.4 eV. The trap ionization energy of 1.2 eV indicates that the traps in PECVD methyl-terminated OSG low-k dielectrics may be oxygen divacancies [105]. It is hypothesized that the defect with Wt = 1.2 eV is a Si–Si–Si bond (i.e., oxygen divacancies), by analogy with SiO2.
One can see the trap concentration for films deposited by three different technologies is about 1020 cm−3. Note that for PECVD film, the current below 3.5 MV/cm is at the limit of the device sensitivity threshold (10 pA), and the maximum field for all structures is pre-breakdown. According to the data in Figure 21, the PECVD OSG has the smallest conductivity and the highest breakdown voltage. At the same time, however, the trap concentration for this film is higher than for spin-on deposited methyl-terminated OSG. This discrepancy can be explained by using the N-G model in simulation without the Poisson and Shockley–Reed–Hall equations. The higher leakage currents through carbon-bridged PMO are explained by the highest trap concentration, even despite the greater depth of the trap.
It can be assumed that ODC centers, including Si–Si or Si–Si–Si bonds, can form in OSG films and influence their conductivity. VUV irradiation of OSG films can rupture Si–CHx bonds, leading to the formation of Si dangling bonds that can further recombine to form Si–Si or Si–Si–Si defects after structural rearrangement. In contrast, the reduction in conductivity after pure thermal annealing can be attributed to the lack of Si–CHx bond rupture. However, it is important to note that the processing temperature is constrained by BEOL requirements (<450 °C), which is insufficient to allow the network to relax. This limited thermal budget is particularly important for sol–gel-based films. Sol–gel chemistry relies on stable precursors, with polymerization occurring through hydrolysis and subsequent condensation, forming Si–O–Si or Si–R–Si bridges and methyl groups on the pore wall surface. Therefore, the only possibility of their formation can be related to the collapse of micropores Si dangling bonds during the overcuring (Figure 13) [133], and this process brings the Si dangling bonds to a distance sufficient for their recombination. The negative curvature of the pore wall is an important factor [150,151]. However, this assumption needs additional verification because the detection of ODC centers is not a simple task [94,135]. XPS- and UV-initiated luminescence are widely used in the case of dense oxides, but these methods can meet certain challenges when used for ODC verification in porous OSG films. More detailed information related to these challenges can be found in the Supplementary Materials.

4.3. Dielectric Breakdown of Low-k

The analysis of reliability, particularly in assessing the lifetime of integrated dielectrics in ULSI devices, is crucial during their development. The reliability researchers often utilize Weibull [156] or lognormal [173] statistics to understand the lifetime distributions of dielectrics. For a deeper understanding, especially in the evaluation of low-k dielectrics integrated with copper in the BEOL structures, additional insights are available in the referenced literature [109].
In this context, we focus on how the lifetime trend relates to applied bias or electric field concerning the types and porosity of OSG-based low-k materials. Figure 22 displays the relationship between the characteristic lifetimes of various low-k dielectrics plotted against the applied electric field, revealing a clear trend of lower breakdown performance with decreasing k value. The degradation of low-k properties with a reduction in dielectric constant is conspicuous. This reduction in dielectric constant in films with similar composition is primarily attributed to increased porosity, as per the Clausius–Mossotti equation [6]. The pore size typically varies within a limited range, typically around 1–1.5 nm, leading to an increase in pore wall surface area with increased porosity. Considering this, it is reasonable to assume that early breakdown is primarily linked to contaminations and defects present on the pore wall. This conclusion aligns with previous observations.
However, the escalation of porosity leads to diminished breakdown fields (Figure 19b), a phenomenon elucidated by the augmented presence of cage structures within the film. This exacerbates the strain on the Si–O–Si backbone structure under the influence of an external electric field, accompanied by local field enhancements proximal to the pores. Consequently, the Si–O bond becomes notably susceptible to breakage.

5. Conclusions

The main purpose of this paper is to find the correlation between electrical properties (breakdown field and leakage current) and intrinsic defects formed during the fabrication and plasma processing of OSGs. To meet this goal, the second and third sections provide a review of deposition processes and their modification by UV photons and plasma, which are commonly used during technological processing. Both gas-phase (plasma) and liquid-phase (sol–gel technology and spin-on deposition) methods are discussed. Additionally, the methods for generating porosity, types of sacrificial porogens/templates, and UV curing of the deposited films are explored. The differences between PECVD and sol–gel fabricated OSGs are discussed, highlighting the roles of methyl terminal groups and carbon bridging groups. Moreover, the methods for generating porosity, types of sacrificial porogens/templates, and UV curing of the deposited films are explored. The differences between PECVD and sol–gel fabricated OSGs are discussed, highlighting the roles of methyl terminal groups and carbon bridging groups. Additionally, the modifications of materials resulting from the use of various plasma systems and processes employed for micropatterning, which are crucial for practical applications, are presented. For a more detailed analysis of these phenomena, refer to the review papers [25,38], which provide more specific and extensive information.
The third section introduces the modification of materials resulting from the utilization of various plasma systems and processes employed for micropatterning, which are crucial for practical applications. This section explains the features and analysis of VUV-induced modification, detailing the energy and mechanisms involved in bond breakage (quantum chemistry calculations).
The last section includes an analysis of data pertaining to electrical properties. It is demonstrated that the matrix of OSG films containing methyl terminal groups exhibits an optical bandgap and breakdown field similar to that of amorphous SiO₂. This similarity arises from the methyl groups being exclusively situated on the pore wall surface. Moreover, the incorporation of carbon-based bridging groups, in lieu of oxygen bridges, within the silica matrix leads to a reduction in the optical bandgap. This reduction is more pronounced when aromatic groups are employed as bridges compared to alkyl chains. The breakdown field diminishes with increasing porosity. In most cases of porogen-based low-k materials, this reduction is typically attributed to a higher concentration of porogen residue in highly porous films. The porogen residue contributes to the formation of a valence band tail, and this effect intensifies after plasma treatment and/or ion bombardment.
Significant efforts have been dedicated to understanding the pivotal role in defining leakage current in various low-k materials. The results of different studies clearly demonstrate the significant impact of porosity. A clear increase in leakage current with porosity (pore wall surface) allows us to conclude that the key factor in the degradation of leakage current is related to porogen residue formed during non-optimized UV-assisted thermal curing. The presence of adsorbed moisture also has a strong impact on the leakage current, and its influence depends on the degree of hydrophilicity and, in some cases, can be even stronger than the impact of porogen residue. If the films do not contain adsorbed water molecules and porogen residue on their pore wall surface, the leakage current does not depend on porosity. In this case, the key role in the leakage current mechanism can be attributed to various internal defects (such as Si vacancies, carbon dangling bonds, and oxygen-deficient centers), as described in refs. [88,97]. In most cases, we exclude the formation of ODC centers in low-k films due to the very low thermal budget dictated by interconnect technology requirements. The temperatures involved are much lower than those typically needed for matrix relaxation (≥1000 °C) [25], which is a key requirement for ODC formation. Moreover, a significant amount of atomic hydrogen is released during UV curing due to the destruction of CH3 groups. Even if silicon dangling bonds are formed by breaking SiCH3 bonds, they are immediately saturated by hydrogen, forming stable SiH groups.
Part of the experiments was carried out using specially prepared low-k films that did not contain carbon and water residues on their pore wall surfaces [134,148]. In these cases, conductivity becomes independent of porosity and primarily depends on defects in the matrix. The electrical leakage behavior in these cases is well described by the Nasyrov–Gritsenko model [166], which assumes phonon-assisted tunneling between the traps. It has been assumed that in the case of dense dielectrics, these traps are most likely oxygen-deficient centers (ODCs). The formation of ODC centers in low-k films has been reported in several papers [94,97,98,135], but it is difficult to confirm because the temperature required for low-k fabrication, dictated by the requirements of ULSI interconnect technology, is much lower than the temperature needed for low-k matrix relaxation [25]. However, a possible mechanism of their formation in low-k dielectrics can be related to the removal of methyl groups from micropores that collapse during overcuring (Figure 13) [133]. In this case, the formed silicon atoms with dangling bonds are located at a short distance due to the negative curvature of micropores. The increased reactivity of chemical groups (hydroxyl groups) in pores with small diameters has already been studied experimentally and discussed [150,151].
In previous research, leakage current has typically been attributed to the Poole–Frenkel mechanism at low electric fields [167,168] and the Fowler–Nordheim mechanism at high electric fields [145,169]. However, our study proposes that the Nasyrov–Gritsenko model [166], which involves phonon-assisted electron tunneling between adjacent neutral traps, offers a more precise explanation for charge transport in OSG low-k materials. This model, previously primarily applied to high-k dielectrics, appears to better describe the behavior observed in our investigation.

Supplementary Materials

The following supporting information can be downloaded at: https://www.mdpi.com/article/10.3390/polym16152230/s1, Table S1: General characteristics of used organosilicate glass (OSG) films and photoluminescence (PL) energy as a function of the carbon groups; Figure S1: Experimental stress current versus stress time in the device after being subjected to high voltage stresses, as well as theoretical I-V (solid lines) simulated within the Nasyrov–Gritsenko charge transport model; Figure S2: Experimental data from Atkin’s paper (black characters), from Vanstreels’ paper (red characters), from Wu’s paper (blue characters), and simulations with N-G model (dash lines) current–voltage (I-V) characteristics with different trap concentration values. References [174,175] are cited in the Supplementary Materials.

Author Contributions

Conceptualization, M.R.B., K.A.V., V.A.G. and T.V.R.; methodology, A.A.G. and A.S.V.; software, S.N.; validation, T.V.P., A.A.G. and M.R.B.; formal analysis, A.S.V. and A.A.G.; investigation, A.A.G. and T.V.P.; resources, K.A.V., V.A.G. and T.V.R.; data curation, S.N. and T.V.P.; writing—original draft preparation, M.R.B. and A.A.G.; writing—review and editing, M.R.B., T.V.P., A.A.G., K.A.V. and T.V.R.; visualization, M.R.B., T.V.P. and A.S.V.; supervision, M.R.B., K.A.V., V.A.G. and T.V.R.; project administration, K.A.V.; funding acquisition, K.A.V. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Russian Science Foundation, grant number 23-79-30016.

Institutional Review Board Statement

The study does not require ethical approval.

Data Availability Statement

The data presented in this study are available upon request from the corresponding authors.

Acknowledgments

It is our pleasure to thank D. Seregin (RTU MIREA) for development and fabrication of CSD PMO OSG films.

Conflicts of Interest

The authors declare no conflicts of interest.

Correction Statement

This article has been republished with a minor correction to remove a duplicated subfigure of Figure 19. This change does not affect the scientific content of the article.

References

  1. Van der Voort, P.; Esquivel, D.; De Canck, E.; Goethals, F.; Van Driessche, I.; Romero-Salguero, F.J. Periodic Mesoporous Organosilicas: From simple to complex bridges; a comprehensive overview of functions, morphologies and applications. Chem. Soc. Rev. 2013, 42, 3913–3955. [Google Scholar] [CrossRef] [PubMed]
  2. Volksen, W.; Miller, R.D.; Dubois, G. Low dielectric constant materials. Chem. Rev. 2010, 110, 56–110. [Google Scholar] [CrossRef] [PubMed]
  3. Hatton, B.D.; Landskron, K.; Hunks, W.J.; Bennett, M.R.; Shukaris, D.; Perovic, D.D.; Ozin, G.A. Materials chemistry for low-k materials. Mater. Today 2006, 9, 22–31. [Google Scholar] [CrossRef]
  4. Lu, Y.; Ganguli, R.; Drewien, C.A.; Anderson, M.T.; Brinker, C.J.; Gong, W.; Guo, Y.; Soyez, H.; Dunn, B.; Huang, M.H.; et al. Continuous formation of supported cubic and hexagonal mesoporous films by sol–gel dip-coating. Nature 1997, 389, 364–368. [Google Scholar] [CrossRef]
  5. Li, H.; Knaup, J.M.; Kaxiras, E.; Vlassak, J.J. Stiffening of organosilicate glasses by organic cross-linking. Acta Mater. 2011, 59, 44–52. [Google Scholar] [CrossRef]
  6. Shamiryan, D.; Abell, T.; Iacopi, F.; Maex, K. Low-k dielectric materials. Mater. Today 2004, 7, 34–39. [Google Scholar] [CrossRef]
  7. Grill, A.; Gates, S.M.; Ryan, T.E.; Nguyen, S.V.; Priyadarshini, D. Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art. Appl. Phys. Rev. 2014, 1, 011306. [Google Scholar] [CrossRef]
  8. Maier, G. Low dielectric constant polymers for microelectronics. Prog. Polym. Sci. 2001, 26, 3–65. [Google Scholar] [CrossRef]
  9. Ho, P.S.; Leu, J.; Lee, W.W. Low Dielectric Constant Materials for IC Applications; Springer: Berlin, Germany, 2012. [Google Scholar] [CrossRef]
  10. Ogawa, E.T.; Aubel, O. Electrical Breakdown in Advanced Interconnect Dielectrics; Baklanov, M.R., Ho, P.S., Zschech, E., Eds.; Wiley: Oxford, UK, 2012; pp. 369–434. [Google Scholar] [CrossRef]
  11. Choy, K. Chemical vapour deposition of coatings. Prog. Mater. Sci. 2003, 48, 57–170. [Google Scholar] [CrossRef]
  12. Sun, L.; Yuan, G.; Gao, L.; Yang, J.; Chhowalla, M.; Gharahcheshmeh, M.H.; Gleason, K.K.; Choi, Y.S.; Hong, B.H.; Liu, Z. Chemical vapour deposition. Nat. Rev. Methods Primers 2021, 1, 5–63. [Google Scholar] [CrossRef]
  13. Grill, A. Cold Plasma in Materials Fabrication; Wiley—IEEE Press: New York, NY, USA, 1994. [Google Scholar]
  14. Lewis, H.G.P.; Casserly, T.B.; Gleason, K.K. Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane. J. Electrochem. Soc. 2001, 148, F212. [Google Scholar] [CrossRef]
  15. Bornside, D.E.; Macosko, C.W.; Scriven, L.E. Spin coating: One-dimensional model. J. Appl. Phys. 1989, 66, 5185–5193. [Google Scholar] [CrossRef]
  16. Bornside, D.E.; Macosko, C.W.; Scriven, L.E. Modeling of spin coating. J. Imaging Technol. 1987, 13, 122–130. [Google Scholar]
  17. Bornside, D.E. Mechanism for the Local Planarization of Microscopically Rough Surfaces by Drying Thin Films of Spin-Coated Polymer/Solvent Solutions. J. Electrochem. Soc. 1990, 137, 2589. [Google Scholar] [CrossRef]
  18. Sukanek, P.C. Dependence of Film Thickness on Speed in Spin Coating. J. Electrochem. Soc. 1991, 138, 1712. [Google Scholar] [CrossRef]
  19. Zhang, L.; de Marneffe, J.F.; Heylen, N.; Murdoch, G.; Tokei, Z.; Boemmels, J.; De Gendt, S.; Baklanov, M.R. Damage free integration of ultralow-k dielectrics by template replacement approach. Appl. Phys. Lett. 2015, 107, 092901. [Google Scholar] [CrossRef]
  20. You, H.; Mennell, P.; Shoudy, M.; Sil, D.; Dorman, D.; Cohen, S.; Liniger, E.; Shaw, T.; Leo, T.-L.; Canaperi, D.; et al. Extreme-low k porous pSiCOH dielectrics prepared by PECVD. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2018, 36, 012202. [Google Scholar] [CrossRef]
  21. Jousseaume, V.; Zenasni, A.; Gourhant, O.; Favennec, L.; Baklanov, M.R. Ultra-Low-k by CVD: Deposition and Curing. In Advanced Interconnects for ULSI Technology; Wiley: Oxford, UK, 2012; pp. 35–77. [Google Scholar] [CrossRef]
  22. Iacopi, F.; Travaly, Y.; Eyckens, B.; Waldfried, C.; Abell, T.; Guyer, E.P.; Gage, D.M.; Dauskardt, R.H.; Sajavaara, T.; Houthoofd, K.; et al. Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation. J. Appl. Phys. 2006, 99, 053511. [Google Scholar] [CrossRef]
  23. Marsik, P.; Urbanowicz, A.M.; Verdonck, P.; De Roest, D.; Sprey, H.; Baklanov, M.R. Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance. Thin Solid. Film. 2011, 519, 3619–3626. [Google Scholar] [CrossRef]
  24. Gourhant, O.; Gerbaud, G.; Zenasni, A.; Favennec, L.; Gonon, P.; Jousseaume, V. Crosslinking of porous SiOCH films involving Si–O–C bonds: Impact of deposition and curing. J. Appl. Phys. 2010, 108, 124105. [Google Scholar] [CrossRef]
  25. Baklanov, M.R.; Jousseaume, V.; Rakhimova, T.V.; Lopaev, D.V.; Mankelevich, Y.A.; Afanas’ev, V.V.; Shohet, J.L.; King, S.W.; Ryan, E.T. Impact of VUV photons on SiO2 and organosilicate low-k dielectrics: General behavior, practical applications, and atomic models. Appl. Phys. Rev. 2019, 6, 011301. [Google Scholar] [CrossRef]
  26. Brinker, C.J. Evaporation-Induced Self-Assembly: Functional Nanostructures Made Easy. MRS Bull. 2011, 29, 631–640. [Google Scholar] [CrossRef]
  27. Doshi, D.A.; Gibaud, A.; Goletto, V.; Lu, M.; Gerung, H.; Ocko, B.; Han, S.M.; Brinker, C.J. Peering into the self-assembly of surfactant templated thin-film silica mesophases. J. Am. Chem. Soc. 2003, 125, 11646–11655. [Google Scholar] [CrossRef]
  28. Redzheb, M.; Van Der Voort, P.; Armini, S. Template-dependent hydrophobicity in mesoporous organosilica films. Microporous Mesoporous Mater. 2018, 259, 111–115. [Google Scholar] [CrossRef]
  29. Goethals, F. Periodic Mesoporous Organosilicas for Application as Low-k Dielectric Materials. Ph.D. Thesis, Ghent University, Ghent, Belgium, 2012. Available online: https://biblio.ugent.be/publication/3069897 (accessed on 3 June 2024).
  30. Seregin, D.S.; Vishnevskiy, A.S.; Vorotyntsev, D.A.; Mokrushev, P.A.; Vorotilov, K.A. Effect of Surfactant and Solvent on the Pore Structure of Organosilica Glass Film. Russ. Microelectron. 2024, 52, S232–S239. [Google Scholar] [CrossRef]
  31. Raman, N.K.; Anderson, M.T.; Brinker, C.J. Template-Based Approaches to the Preparation of Amorphous, Nanoporous Silicas. Chem. Mater. 1996, 8, 1682–1701. [Google Scholar] [CrossRef]
  32. Ting, C.-Y.; Sheu, H.-S.; Wu, W.-F.; Wan, B.-Z. Porosity Effects on Properties of Mesoporous Silica Low-k Films Prepared Using Tetraethylorthosilicate with Different Templates. J. Electrochem. Soc. 2007, 154, G1–G5. [Google Scholar] [CrossRef]
  33. Shen, J.; Luo, A.; Yao, L.; Lin, X.; Zhou, B.; Wu, G.; Ni, X. Low dielectric constant silica films with ordered nanoporous structure. Mater. Sci. Eng. C 2007, 27, 1145–1148. [Google Scholar] [CrossRef]
  34. Michalak, D.J.; Blackwell, J.M.; Torres, J.M.; Sengupta, A.; Kreno, L.E.; Clarke, J.S.; Pantuso, D. Porosity scaling strategies for low-k films. J. Mater. Res. 2015, 30, 3363–3385. [Google Scholar] [CrossRef]
  35. King, S.; Plombon, J.; Bielefeld, J.; Blackwell, J.; Vyas, S.; Chebiam, R.; Naylor, C.; Michalak, D.; Kobrinsky, M.; Gstrein, F.; et al. A Selectively Colorful yet Chilly Perspective on the Highs and Lows of Dielectric Materials for CMOS Nanoelectronics. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 40.1.1–40.1.4. [Google Scholar] [CrossRef]
  36. Brinker, C.J.; Scherer, G.W. Sol-Gel Science. In The Physics and Chemistry of Sol-Gel Processing; Academic Press: New York, NY, USA, 1990. [Google Scholar]
  37. Grill, A.; Neumayer, D.A. Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. J. Appl. Phys. 2003, 94, 6697–6707. [Google Scholar] [CrossRef]
  38. Baklanov, M.R.; de Marneffe, J.-F.; Shamiryan, D.; Urbanowicz, A.M.; Shi, H.; Rakhimova, T.V.; Huang, H.; Ho, P.S. Plasma processing of low-k dielectrics. J. Appl. Phys. 2013, 113, 041101. [Google Scholar] [CrossRef]
  39. Vishnevskiy, A.S.; Naumov, S.; Seregin, D.S.; Wu, Y.H.; Chuang, W.T.; Rasadujjaman, M.; Zhang, J.; Leu, J.; Vorotilov, K.A.; Baklanov, M.R. Effects of Methyl Terminal and Carbon Bridging Groups Ratio on Critical Properties of Porous Organosilicate-Glass Films. Materials 2020, 13, 4484. [Google Scholar] [CrossRef] [PubMed]
  40. Socrates, G. Infrared and Raman Characteristic Group Frequencies: Tables and Charts, 3rd ed.; John Wiley & Sons: Chichester, UK, 2001; p. 368. [Google Scholar] [CrossRef]
  41. Griffiths, P.R. The Handbook of Infrared and Raman Characteristic Frequencies of Organic Molecules; Academic Press: New York, NY, USA, 1991; p. 503. [Google Scholar] [CrossRef]
  42. Redzheb, M.; Prager, L.; Naumov, S.; Krishtab, M.; Armini, S.; Van Der Voort, P.; Baklanov, M.R. Effect of the C-bridge length on the ultraviolet-resistance of oxycarbosilane low-k films. Appl. Phys. Lett. 2016, 108, 012902. [Google Scholar] [CrossRef]
  43. Kim, S.; Toivola, Y.; Cook, R.F.; Char, K.; Chu, S.-H.; Lee, J.-k.; Yoon, D.Y.; Rhee, H.-W. Organosilicate Spin-on Glasses: I. Effect of Chemical Modification on Mechanical Properties. J. Electrochem. Soc. 2004, 151, F37. [Google Scholar] [CrossRef]
  44. Toivola, Y.; Kim, S.; Cook, R.F.; Char, K.; Lee, J.-k.; Yoon, D.Y.; Rhee, H.-W.; Kim, S.Y.; Jin, M.Y. Organosilicate Spin-On Glasses: II. Effect of Physical Modification on Mechanical Properties. J. Electrochem. Soc. 2004, 151, F45. [Google Scholar] [CrossRef]
  45. Fidalgo, A.; Ilharco, L.M. Correlation between physical properties and structure of silica xerogels. J. Non-Cryst. Solids 2004, 347, 128–137. [Google Scholar] [CrossRef]
  46. Kruchinin, V.N.; Volodin, V.A.; Rykhlitskii, S.V.; Gritsenko, V.A.; Posvirin, I.P.; Shi, X.; Baklanov, M.R. Atomic Structure and Optical Properties of Plasma Enhanced Chemical Vapor Deposited SiCOH Low-k Dielectric Film. Opt. Spectrosc. 2021, 129, 645–651. [Google Scholar] [CrossRef]
  47. Ding, Z.-J.; Wang, Y.-P.; Liu, W.-J.; Ding, S.-J.; Baklanov, M.R.; Zhang, D.W. Characterization of PECVD ultralow dielectric constant porous SiOCH films using triethoxymethylsilane precursor and cinene porogen. J. Phys. D Appl. Phys. 2018, 51, 115103. [Google Scholar] [CrossRef]
  48. Wirth, W.; Comeaux, J.; Jang, S. Characterization of flexible low-dielectric constant carbon-doped oxide (SiCOH) thin films under repeated mechanical bending stress. J. Mater. Sci. 2022, 57, 21411–21431. [Google Scholar] [CrossRef]
  49. Heo, J.; Kim, H.J.; Han, J.; Shon, J.-W. The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition. Thin Solid. Film. 2007, 515, 5035–5039. [Google Scholar] [CrossRef]
  50. Baklanov, M.R.; Mogilnikov, K.P.; Vishnevskiy, A.S. Challenges in porosity characterization of thin films: Cross-evaluation of different techniques. J. Vac. Sci. Technol. A 2023, 41, 050802. [Google Scholar] [CrossRef]
  51. Flamm, D.L.; Donnelly, V.M.; Mucha, J.A. The reaction of fluorine atoms with silicon. J. Appl. Phys. 1981, 52, 3633–3639. [Google Scholar] [CrossRef]
  52. Winters, H.F.; Coburn, J.W. Surface science aspects of etching reactions. Surf. Sci. Rep. 1992, 14, 162–269. [Google Scholar] [CrossRef]
  53. Standaert, T.E.F.M.; Joseph, E.A.; Oehrlein, G.S.; Jain, A.; Gill, W.N.; Wayner, P.C.; Plawsky, J.L. Etching of xerogel in high-density fluorocarbon plasmas. J. Vac. Sci. Technol. A Vac. Surf. Film. 2000, 18, 2742–2748. [Google Scholar] [CrossRef]
  54. Rakhimova, T.V.; Lopaev, D.V.; Mankelevich, Y.A.; Rakhimov, A.T.; Zyryanov, S.M.; Kurchikov, K.A.; Novikova, N.N.; Baklanov, M.R. Interaction of F atoms with SiOCH ultra-low-k films: I. Fluorination and damage. J. Phys. D Appl. Phys. 2015, 48, 175203. [Google Scholar] [CrossRef]
  55. Kunnen, E.; Baklanov, M.R.; Franquet, A.; Shamiryan, D.; Rakhimova, T.V.; Urbanowicz, A.M.; Struyf, H.; Boullart, W. Effect of energetic ions on plasma damage of porous SiCOH low-k materials. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2010, 28, 450–459. [Google Scholar] [CrossRef]
  56. Posseme, N.; Chevolleau, T.; Joubert, O.; Vallier, L.; Mangiagalli, P. Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2003, 21, 2432–2440. [Google Scholar] [CrossRef]
  57. Iba, Y.; Kirimura, T.; Sasaki, M.; Kobayashi, Y.; Nakata, Y.; Nakaishi, M. Effects of Etch Rate on Plasma-Induced Damage to Porous Low-k Films. Jpn. J. Appl. Phys. 2008, 47, 6923. [Google Scholar] [CrossRef]
  58. Safaverdi, S.; Barkema, G.T.; Kunnen, E.; Urbanowicz, A.M.; Maes, C. Saturation of front propagation in a reaction diffusion process describing plasma damage in porous low-k materials. Phys. Rev. B 2011, 83, 245320. [Google Scholar] [CrossRef]
  59. Braginsky, O.V.; Kovalev, A.S.; Lopaev, D.V.; Malykhin, E.M.; Mankelevich, Y.A.; Rakhimova, T.V.; Rakhimov, A.T.; Vasilieva, A.N.; Zyryanov, S.M.; Baklanov, M.R. The mechanism of low-k SiOCH film modification by oxygen atoms. J. Appl. Phys. 2010, 108, 073303. [Google Scholar] [CrossRef]
  60. Frot, T.; Volksen, W.; Purushothaman, S.; Bruce, R.L.; Magbitang, T.; Miller, D.C.; Deline, V.R.; Dubois, G. Post Porosity Plasma Protection: Scaling of Efficiency with Porosity. Adv. Funct. Mater. 2012, 22, 3043–3050. [Google Scholar] [CrossRef]
  61. Zhang, L.; Ljazouli, R.; Lefaucheux, P.; Tillocher, T.; Dussart, R.; Mankelevich, Y.A.; de Marneffe, J.F.; de Gendt, S.; Baklanov, M.R. Damage Free Cryogenic Etching of a Porous Organosilica Ultralow-k Film. ECS Solid. State Lett. 2012, 2, N5–N7. [Google Scholar] [CrossRef]
  62. Ryan, E.T.; Gates, S.M.; Grill, A.; Molis, S.; Flaitz, P.; Arnold, J.; Sankarapandian, M.; Cohen, S.A.; Ostrovski, Y.; Dimitrakopoulos, C. Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage. J. Appl. Phys. 2008, 104, 094109. [Google Scholar] [CrossRef]
  63. Goldman, M.A.; Graves, D.B.; Antonelli, G.A.; Behera, S.P.; Kelber, J.A. Oxygen radical and plasma damage of low-k organosilicate glass materials: Diffusion-controlled mechanism for carbon depletion. J. Appl. Phys. 2009, 106, 013311. [Google Scholar] [CrossRef]
  64. Worsley, M.A.; Bent, S.F.; Gates, S.M.; Fuller, N.C.M.; Volksen, W.; Steen, M.; Dalton, T. Effect of plasma interactions with low-κ films as a function of porosity, plasma chemistry, and temperature. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2005, 23, 395–405. [Google Scholar] [CrossRef]
  65. Han, Q.Y.; White, B.; Berry, I.L.; Waldfried, C.; Escorcia, O. Activated He:H2 Strip of Photoresist over Porous Low-k Materials. Solid. State Phenom. 2005, 103–104, 341–344. [Google Scholar] [CrossRef]
  66. Lazzeri, P.; Stueber, G.J.; Oehrlein, G.S.; McGowan, R.; Busch, E.; Pederzoli, S.; Bersani, M.; Anderle, M. Time of flight secondary ion mass spectroscopy investigation of ultralow-k dielectric modifications in hydrogen and deuterium plasmas. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2006, 24, 2695–2701. [Google Scholar] [CrossRef]
  67. Yamamoto, H.; Takeda, K.; Ishikawa, K.; Ito, M.; Sekine, M.; Hori, M.; Kaminatsui, T.; Hayashi, H.; Sakai, I.; Ohiwa, T. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics. J. Appl. Phys. 2011, 109, 084112. [Google Scholar] [CrossRef]
  68. Yamamoto, H.; Asano, K.; Ishikawa, K.; Sekine, M.; Hayashi, H.; Sakai, I.; Ohiwa, T.; Takeda, K.; Kondo, H.; Hori, M. Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy. J. Appl. Phys. 2011, 110, 123301. [Google Scholar] [CrossRef]
  69. Bittel, B.C.; Lenahan, P.M.; King, S.W. Ultraviolet radiation effects on paramagnetic defects in low-κ dielectrics for ultralarge scale integrated circuit interconnects. Appl. Phys. Lett. 2010, 97, 063506. [Google Scholar] [CrossRef]
  70. Matsunaga, N.; Okumura, H.; Jinnai, B.; Samukawa, S. Hard-Mask-Through UV-Light-Induced Damage to Low-k Film during Plasma Process for Dual Damascene. Jpn. J. Appl. Phys. 2010, 49, 04DB06. [Google Scholar] [CrossRef]
  71. Ryan, E.T.; Molis, S.E. A plasmaless, photochemical etch process for porous organosilicate glass films. J. Appl. Phys. 2017, 122, 244104. [Google Scholar] [CrossRef]
  72. Choi, C.K.; Kim, C.Y.; Navamathavan, R.; Lee, H.S.; Woo, J.-k.; Hyun, M.T.; Lee, H.J.; Jeung, W.Y. UV irradiation effects on the bonding structure and electrical properties of ultra low-k SiOC(–H) thin films for 45 nm technology node. Curr. Appl. Phys. 2011, 11, S109–S113. [Google Scholar] [CrossRef]
  73. DiStefano, T.H.; Eastman, D.E. The band edge of amorphous SiO2 by photoinjection and photoconductivity measurements. Solid. State Commun. 1971, 9, 2259–2261. [Google Scholar] [CrossRef]
  74. Adamchuk, V.K.; Afanasev, V.V. Photocharging Technique for Barrier Determination on Semiconductor-Insulator Interfaces. Phys. Status Solidi (a) 1992, 132, 371–379. [Google Scholar] [CrossRef]
  75. Powell, R.J.; Derbenwick, G.F. Vacuum Ultraviolet Radiation Effects in SiO2. IEEE Trans. Nucl. Sci. 1971, 18, 99–105. [Google Scholar] [CrossRef]
  76. DiMaria, D.J.; Weinberg, Z.A.; Aitken, J.M. Location of positive charges in SiO2 films on Si generated by vuv photons, X-rays, and high-field stressing. J. Appl. Phys. 1977, 48, 898–906. [Google Scholar] [CrossRef]
  77. Stivers, A.R.; Sah, C.T. A study of oxide traps and interface states of the silicon-silicon dioxide interface. J. Appl. Phys. 1980, 51, 6292–6304. [Google Scholar] [CrossRef]
  78. Adamchuk, V.K.; Afanasev, V.V.; Akulov, A.V. Electron Trap Activation in Thermal SiO2. Phys. Status Solidi (a) 1990, 122, 347–354. [Google Scholar] [CrossRef]
  79. Afanas’ev, V.V.; Adamchuk, V.K. Injection spectroscopy of localized states in thin insulating layers on semiconductor surfaces. Prog. Surf. Sci. 1994, 47, 301–394. [Google Scholar] [CrossRef]
  80. Lee, J.; Graves, D.B. Synergistic damage effects of vacuum ultraviolet photons and O2 in SiCOH ultra-low-k dielectric films. J. Phys. D Appl. Phys. 2010, 43, 425201. [Google Scholar] [CrossRef]
  81. Lee, J.; Graves, D.B. The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films. J. Phys. D Appl. Phys. 2011, 44, 325203. [Google Scholar] [CrossRef]
  82. Rakhimova, T.V.; Rakhimov, A.T.; Mankelevich, Y.A.; Lopaev, D.V.; Kovalev, A.S.; Vasil’eva, A.N.; Proshina, O.V.; Braginsky, O.V.; Zyryanov, S.M.; Kurchikov, K.; et al. Modification of organosilicate glasses low-k films under extreme and vacuum ultraviolet radiation. Appl. Phys. Lett. 2013, 102, 111902. [Google Scholar] [CrossRef]
  83. Rakhimova, T.V.; Rakhimov, A.T.; Mankelevich, Y.A.; Lopaev, D.V.; Kovalev, A.S.; Vasil’eva, A.N.; Zyryanov, S.M.; Kurchikov, K.; Proshina, O.V.; Voloshin, D.G.; et al. Low-k films modification under EUV and VUV radiation. J. Phys. D Appl. Phys. 2014, 47, 025102. [Google Scholar] [CrossRef]
  84. Jinnai, B.; Fukuda, S.; Ohtake, H.; Samukawa, S. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique. J. Appl. Phys. 2010, 107, 043302. [Google Scholar] [CrossRef]
  85. Ren, H.; Jiang, G.; Antonelli, G.A.; Nishi, Y.; Shohet, J.L. The nature of the defects generated from plasma exposure in pristine and ultraviolet-cured low-k organosilicate glass. Appl. Phys. Lett. 2011, 98, 252902. [Google Scholar] [CrossRef]
  86. Sinha, H.; Straight, D.B.; Lauer, J.L.; Fuller, N.C.; Engelmann, S.U.; Zhang, Y.; Antonelli, G.A.; Severson, M.; Nishi, Y.; Shohet, J.L. Reflectance and substrate currents of dielectric layers under vacuum ultraviolet irradiation. J. Vac. Sci. Technol. A Vac. Surf. Film. 2010, 28, 1316–1318. [Google Scholar] [CrossRef]
  87. Sinha, H.; Ren, H.; Nichols, M.T.; Lauer, J.L.; Tomoyasu, M.; Russell, N.M.; Jiang, G.; Antonelli, G.A.; Fuller, N.C.; Engelmann, S.U.; et al. The effects of vacuum ultraviolet radiation on low-k dielectric films. J. Appl. Phys. 2012, 112, 111101. [Google Scholar] [CrossRef]
  88. Afanas’ev, V.V.; Nguyen, A.P.D.; Houssa, M.; Stesmans, A.; Tőkei, Z.; Baklanov, M.R. High-resolution electron spin resonance analysis of ion bombardment induced defects in advanced low-κ insulators (κ = 2.0–2.5). Appl. Phys. Lett. 2013, 102, 172908. [Google Scholar] [CrossRef]
  89. Stesmans, A.; Scheerlinck, F. Natural intrinsic EX center in thermal SiO2 on Si: 17O hyperfine interaction. Phys. Rev. B Condens. Matter 1994, 50, 5204–5212. [Google Scholar] [CrossRef]
  90. Stesmans, A.; Scheerlinck, F. Electron-spin-resonance analysis of the natural intrinsic EX center in thermal SiO2 on Si. Phys. Rev. B Condens. Matter 1995, 51, 4987–4997. [Google Scholar] [CrossRef] [PubMed]
  91. Turek, P.; Bernard, M.; Lardon, N.; Maisonobe, J.C.; Passemard, G. Electron spin resonance (ESR) characterization of defects in low-k dielectrics-temperature effect. In Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407), Burlingame, CA, USA, 7 June 2000; pp. 173–175. [Google Scholar] [CrossRef]
  92. Afanas’ev, V.V.; Keunen, K.; Stesmans, A.; Jivanescu, M.; Tőkei, Z.; Baklanov, M.R.; Beyer, G.P. Electron spin resonance study of defects in low-κ oxide insulators (κ = 2.5–2.0). Microelectron. Eng. 2011, 88, 1503–1506. [Google Scholar] [CrossRef]
  93. Pomorski, T.A.; Bittel, B.C.; Cochrane, C.J.; Lenahan, P.M.; Bielefeld, J.; King, S.W. Defects and electronic transport in hydrogenated amorphous SiC films of interest for low dielectric constant back end of the line dielectric systems. J. Appl. Phys. 2013, 114, 074501. [Google Scholar] [CrossRef]
  94. Pomorski, T.A.; Bittel, B.C.; Lenahan, P.M.; Mays, E.; Ege, C.; Bielefeld, J.; Michalak, D.; King, S.W. Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics. J. Appl. Phys. 2014, 115, 234508. [Google Scholar] [CrossRef]
  95. Mutch, M.J.; Lenahan, P.M.; King, S.W. Defect chemistry and electronic transport in low-κ dielectrics studied with electrically detected magnetic resonance. J. Appl. Phys. 2016, 119, 094102. [Google Scholar] [CrossRef]
  96. Mutch, M.J.; Pomorski, T.; Bittel, B.C.; Cochrane, C.J.; Lenahan, P.M.; Liu, X.; Nemanich, R.J.; Brockman, J.; French, M.; Kuhn, M.; et al. Band diagram for low-k/Cu interconnects: The starting point for understanding back-end-of-line (BEOL) electrical reliability. Microelectron. Reliab. 2016, 63, 201–213. [Google Scholar] [CrossRef]
  97. King, S.W.; French, B.; Mays, E. Detection of defect states in low-k dielectrics using reflection electron energy loss spectroscopy. J. Appl. Phys. 2013, 113, 044109. [Google Scholar] [CrossRef]
  98. Pustovarov, V.A.; Zatsepin, A.F.; Biryukov, D.Y.; Aliev, V.S.; Iskhakzay, R.M.K.; Gritsenko, V.A. Synchrotron-Excited Luminescence and Converting of Defects and Quantum Dots in Modified Silica Films. J. Non-Cryst. Solids 2023, 602, 122077. [Google Scholar] [CrossRef]
  99. Skuja, L. Optically active oxygen-deficiency-related centers in amorphous silicon dioxide. J. Non-Cryst. Solids 1998, 239, 16–48. [Google Scholar] [CrossRef]
  100. Salh, R. Defect Related Luminescence in Silicon Dioxide Network: A Review. In Crystalline Silicon—Properties and Uses; Basu, S., Ed.; IntechOpen: Rijeka, Croatia, 2011. [Google Scholar] [CrossRef]
  101. Gismatulin, A.A.; Gritsenko, V.A.; Seregin, D.S.; Vorotilov, K.A.; Baklanov, M.R. Charge transport mechanism in periodic mesoporous organosilica low-k dielectric. Appl. Phys. Lett. 2019, 115, 082904. [Google Scholar] [CrossRef]
  102. Rasadujjaman, M.; Zhang, J.; Spassky, D.A.; Naumov, S.; Vishnevskiy, A.S.; Vorotilov, K.A.; Yan, J.; Baklanov, M.R. UV-Excited Luminescence in Porous Organosilica Films with Various Organic Components. Nanomaterials 2023, 13, 1419. [Google Scholar] [CrossRef]
  103. El-Sayed, A.M.; Watkins, M.B.; Grasser, T.; Afanas’ev, V.V.; Shluger, A.L. Hydrogen-induced rupture of strained Si horizontal line O bonds in amorphous silicon dioxide. Phys. Rev. Lett. 2015, 114, 115503. [Google Scholar] [CrossRef] [PubMed]
  104. Stesman, A.; Devine, R.; Revesz, A.G.; Hughes, H. Irradiation-induced ESR active defects in SIMOX structures. IEEE Trans. Nucl. Sci. 1990, 37, 2008–2012. [Google Scholar] [CrossRef]
  105. Devine, R.A.B.; Warren, W.L.; Xu, J.B.; Wilson, I.H.; Paillet, P.; Leray, J.L. Oxygen gettering and oxide degradation during annealing of Si/SiO2/Si structures. J. Appl. Phys. 1995, 77, 175–186. [Google Scholar] [CrossRef]
  106. Hervé, D.; Leray, J.L.; Devine, R.A.B. Comparative study of radiation-induced electrical and spin active defects in buried SiO2 layers. J. Appl. Phys. 1992, 72, 3634–3640. [Google Scholar] [CrossRef]
  107. Warren, W.L.; Shaneyfelt, M.R.; Schwank, J.R.; Fleetwood, D.M.; Winokur, P.S.; Devine, R.A.B.; Maszara, W.P.; McKitterick, J.B. Paramagnetic defect centers in BESOI and SIMOX buried oxides. IEEE Trans. Nucl. Sci. 1993, 40, 1755–1764. [Google Scholar] [CrossRef]
  108. Afanas’ev, V.V.; Stesmans, A.; Revesz, A.G.; Hughes, H.L. Structural inhomogeneity and silicon enrichment of buried SiO2 layers formed by oxygen ion implantation in silicon. J. Appl. Phys. 1997, 82, 2184–2199. [Google Scholar] [CrossRef]
  109. Shamuilia, S.; Afanas’ev, V.V.; Somers, P.; Stesmans, A.; Li, Y.L.; Tőkei, Z.; Groeseneken, G.; Maex, K. Internal photoemission of electrons at interfaces of metals with low-k insulators. Appl. Phys. Lett. 2006, 89, 202909. [Google Scholar] [CrossRef]
  110. Nichols, M.T.; Sinha, H.; Wiltbank, C.A.; Antonelli, G.A.; Nishi, Y.; Shohet, J.L. Time-dependent dielectric breakdown of plasma-exposed porous organosilicate glass. Appl. Phys. Lett. 2012, 100, 112905. [Google Scholar] [CrossRef]
  111. Nichols, M.T.; Mavrakakis, K.; Lin, Q.; Shohet, J.L. The effects of plasma exposure and vacuum ultraviolet irradiation on photopatternable low-k dielectric materials. J. Appl. Phys. 2013, 114, 104107. [Google Scholar] [CrossRef]
  112. Ren, H.; Nichols, M.T.; Jiang, G.; Antonelli, G.A.; Nishi, Y.; Shohet, J.L. Defects in low-k organosilicate glass and their response to processing as measured with electron-spin resonance. Appl. Phys. Lett. 2011, 98, 102903. [Google Scholar] [CrossRef]
  113. Cheynet, M.; Volpi, F.; Pokrant, S.; Pantel, R.; Aimadedinne, M.; Arnal, V. HAADF and EELS Study of ULK Dielectrics. G. I. T Imaging Microsc. 2009, 1, 44. [Google Scholar] [CrossRef]
  114. Singh, P.K.; Knaup, J.M.; Zimmermann, S.; Schulze, S.; Schulz, S.E.; Frauenheim, T.; Hietschold, M. The dielectric response of low-k interlayer dielectric material characterized by electron energy loss spectroscopy. Microporous Mesoporous Mater. 2014, 187, 23–28. [Google Scholar] [CrossRef]
  115. Zheng, H.; King, S.W.; Ryan, V.; Nishi, Y.; Shohet, J.L. Bandgap measurements of low-k porous organosilicate dielectrics using vacuum ultraviolet irradiation. Appl. Phys. Lett. 2014, 104, 062904. [Google Scholar] [CrossRef]
  116. Nichols, M.T.; Li, W.; Pei, D.; Antonelli, G.A.; Lin, Q.; Banna, S.; Nishi, Y.; Shohet, J.L. Measurement of bandgap energies in low-k organosilicates. J. Appl. Phys. 2014, 115, 094105. [Google Scholar] [CrossRef]
  117. Saito, K.; Ikushima, A.J. Absorption edge in silica glass. Phys. Rev. B 2000, 62, 8584–8587. [Google Scholar] [CrossRef]
  118. Trukhin, A.N. Luminescence of localized states in silicon dioxide glass. A short review. J. Non-Cryst. Solids 2011, 357, 1931–1940. [Google Scholar] [CrossRef]
  119. Atkin, J.M.; Song, D.; Shaw, T.M.; Cartier, E.; Laibowitz, R.B.; Heinz, T.F. Photocurrent spectroscopy of low-k dielectric materials: Barrier heights and trap densities. J. Appl. Phys. 2008, 103, 094104. [Google Scholar] [CrossRef]
  120. King, S.W.; French, M.; Jaehnig, M.; Kuhn, M.; French, B. X-ray photoelectron spectroscopy investigation of the Schottky barrier at low-k a-SiO(C):H/Cu interfaces. Appl. Phys. Lett. 2011, 99, 202903. [Google Scholar] [CrossRef]
  121. Dag, Ö.; Yoshina-Ishii, C.; Asefa, T.; MacLachlan, M.J.; Grondey, H.; Coombs, N.; Ozin, G.A. Oriented Periodic Mesoporous Organosilica (PMO) Film with Organic Functionality Inside the Channel Walls. Adv. Funct. Mater. 2001, 11, 213–217. [Google Scholar] [CrossRef]
  122. Dubois, G.; Volksen, W.; Magbitang, T.; Sherwood, M.H.; Miller, R.D.; Gage, D.M.; Dauskardt, R.H. Superior mechanical properties of dense and porous organic/inorganic hybrid thin films. J. Sol-Gel Sci. Technol. 2008, 48, 187–193. [Google Scholar] [CrossRef]
  123. Hoffmann, F.; Cornelius, M.; Morell, J.; Froba, M. Silica-based mesoporous organic-inorganic hybrid materials. Angew. Chem. Int. Ed. Engl. 2006, 45, 3216–3251. [Google Scholar] [CrossRef]
  124. Dubois, G.; Volksen, W.; Magbitang, T.; Miller, R.D.; Gage, D.M.; Dauskardt, R.H. Molecular Network Reinforcement of Sol–Gel Glasses. Adv. Mater. 2007, 19, 3989–3994. [Google Scholar] [CrossRef]
  125. Burg, J.A.; Oliver, M.S.; Frot, T.J.; Sherwood, M.; Lee, V.; Dubois, G.; Dauskardt, R.H. Hyperconnected molecular glass network architectures with exceptional elastic properties. Nat. Commun. 2017, 8, 1019. [Google Scholar] [CrossRef] [PubMed]
  126. Lu, Y.; Fan, H.; Doke, N.; Loy, D.A.; Assink, R.A.; LaVan, D.A.; Brinker, C.J. Evaporation-Induced Self-Assembly of Hybrid Bridged Silsesquioxane Film and Particulate Mesophases with Integral Organic Functionality. J. Am. Chem. Soc. 2000, 122, 5258–5261. [Google Scholar] [CrossRef]
  127. Perdew, J.P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1996, 77, 3865–3868. [Google Scholar] [CrossRef]
  128. Adamo, C.; Barone, V. Toward reliable density functional methods without adjustable parameters: The PBE0 model. J. Chem. Phys. 1999, 110, 6158–6170. [Google Scholar] [CrossRef]
  129. Grimme, S.; Antony, J.; Ehrlich, S.; Krieg, H. A consistent and accurate ab initio parametrization of density functional dispersion correction (DFT-D) for the 94 elements H-Pu. J. Chem. Phys. 2010, 132, 154104. [Google Scholar] [CrossRef]
  130. Jaguar, version 9.6; Schrodinger, Inc.: New York, NY, USA, 2017.
  131. Marsik, P.; Verdonck, P.; De Roest, D.; Baklanov, M.R. Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation. Thin Solid. Film. 2010, 518, 4266–4272. [Google Scholar] [CrossRef]
  132. Griscom, D.L. Optical Properties and Structure of Defects in Silica Glass. J. Ceram. Soc. Jpn. 1991, 99, 923–942. [Google Scholar] [CrossRef]
  133. Attallah, A.G.; Koehler, N.; Liedke, M.O.; Butterling, M.; Hirschmann, E.; Ecke, R.; Schulz, S.E.; Wagner, A. Thermal kinetics of free volume in porous spin-on dielectrics: Exploring the network- and pore-properties. Microporous Mesoporous Mater. 2020, 308, 110457. [Google Scholar] [CrossRef]
  134. Urbanowicz, A.M.; Shamiryan, D.; Zaka, A.; Verdonck, P.; De Gendt, S.; Baklanov, M.R. Effects of He Plasma Pretreatment on Low-k Damage during Cu Surface Cleaning with NH3 Plasma. J. Electrochem. Soc. 2010, 157, H565. [Google Scholar] [CrossRef]
  135. Guo, X.; Zheng, H.; King, S.W.; Afanas’ev, V.V.; Baklanov, M.R.; de Marneffe, J.F.; Nishi, Y.; Shohet, J.L. Defect-induced bandgap narrowing in low-k dielectrics. Appl. Phys. Lett. 2015, 107, 082903. [Google Scholar] [CrossRef]
  136. Van Besien, E.; Pantouvaki, M.; Zhao, L.; De Roest, D.; Baklanov, M.R.; Tőkei, Z.; Beyer, G. Influence of porosity on electrical properties of low-k dielectrics. Microelectron. Eng. 2012, 92, 59–61. [Google Scholar] [CrossRef]
  137. Grill, A.; Patel, V. Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from tetramethylsilane. J. Appl. Phys. 1999, 85, 3314–3318. [Google Scholar] [CrossRef]
  138. Grill, A. Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. J. Appl. Phys. 2003, 93, 1785–1790. [Google Scholar] [CrossRef]
  139. Zhao, L.; Tokei, Z.; Gischia, G.G.; Volders, H.; Beyer, G. A new perspective of barrier material evaluation and process optimization. In Proceedings of the 2009 IEEE International Interconnect Technology Conference, Sapporo, Japan, 1–3 June 2009; pp. 206–208. [Google Scholar] [CrossRef]
  140. O’Reilly, E.P.; Robertson, J. Theory of defects in vitreous silicon dioxide. Phys. Rev. B 1983, 27, 3780–3795. [Google Scholar] [CrossRef]
  141. Afanas’ev, V.V.; Bassler, M.; Pensl, G.; Schulz, M.J.; Stein von Kamienski, E. Band offsets and electronic structure of SiC/SiO2 interfaces. J. Appl. Phys. 1996, 79, 3108–3114. [Google Scholar] [CrossRef]
  142. Afanas’ev, V.V.; Stesmans, A.; Andersson, M.O. Electron states and microstructure of thin a-C:H layers. Phys. Rev. B Condens. Matter 1996, 54, 10820–10826. [Google Scholar] [CrossRef]
  143. Lin, K.L.; Bielefeld, J.; Chawla, J.S.; Carver, C.T.; Chebiam, R.; Clarke, J.S.; Faber, J.; Harmes, M.; Indukuri, T.; Jezewski, C.; et al. Demonstration of new planar capacitor (PCAP) vehicles to evaluate dielectrics and metal barrier thin films. In Proceedings of the 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), Grenoble, France, 18–21 May 2015; pp. 139–142. [Google Scholar] [CrossRef]
  144. Baklanov, M.R.; Zhao, L.; Besien, E.V.; Pantouvaki, M. Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectron. Eng. 2011, 88, 990–993. [Google Scholar] [CrossRef]
  145. Wu, C.; Li, Y.; Barbarin, Y.; Ciofi, I.; Croes, K.; Bömmels, J.; De Wolf, I.; Tőkei, Z. Correlation between field dependent electrical conduction and dielectric breakdown in a SiCOH based low-k (k = 2.0) dielectric. Appl. Phys. Lett. 2013, 103, 032904. [Google Scholar] [CrossRef]
  146. Krishtab, M.; Afanas’ev, V.; Stesmans, A.; De Gendt, S. Leakage current induced by surfactant residues in self-assembly based ultralow-k dielectric materials. Appl. Phys. Lett. 2017, 111, 032908. [Google Scholar] [CrossRef]
  147. Vanstreels, K.; Ciofi, I.; Barbarin, Y.; Baklanov, M. Influence of porosity on dielectric breakdown of ultralow-k dielectrics. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2013, 31, 050604. [Google Scholar] [CrossRef]
  148. Urbanowicz, A.M.; Vanstreels, K.; Verdonck, P.; Shamiryan, D.; De Gendt, S.; Baklanov, M.R. Improving mechanical robustness of ultralow-k SiOCH plasma enhanced chemical vapor deposition glasses by controlled porogen decomposition prior to UV-hardening. J. Appl. Phys. 2010, 107, 104122. [Google Scholar] [CrossRef]
  149. Wu, C.; Li, Y.; Baklanov, M.R.; Croes, K. Electrical Reliability Challenges of Advanced Low-k Dielectrics. ECS J. Solid. State Sci. Technol. 2014, 4, N3065–N3070. [Google Scholar] [CrossRef]
  150. Snyder, L.R.; Ward, J.W. The Surface Structure of Porous Silicas. J. Phys. Chem. 1966, 70, 3941–3952. [Google Scholar] [CrossRef]
  151. Iler, R.K. The chemistry of Silica; Wiley & Sons: New York, NY, USA, 1979. [Google Scholar]
  152. Kayaba, Y.; Kikkawa, T. Theoretical Investigation of Maximum Field Strength in Porous Silica Dielectric. Jpn. J. Appl. Phys. 2008, 47, 5314. [Google Scholar] [CrossRef]
  153. Changsoo, H.; Milor, L. Effect of Porosity on Charge Transport in Porous Ultra-Low-k Dielectrics. In Proceedings of the 2006 International Interconnect Technology Conference, Burlingame, CA, USA, 5–7 June 2006; pp. 140–142. [Google Scholar] [CrossRef]
  154. Shou-Chung, L.; Oates, A.S.; Kow-Ming, C. Fundamental understanding of porous low-k dielectric breakdown. In Proceedings of the 2009 IEEE International Reliability Physics Symposium, Montreal, QC, Canada, 26–30 April 2009; pp. 481–485. [Google Scholar] [CrossRef]
  155. Ogawa, E.T.; Jinyoung, K.; Haase, G.S.; Mogul, H.C.; McPherson, J.W. Leakage, breakdown, and TDDB characteristics of porous low-k silica-based interconnect dielectrics. In Proceedings of the 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual, Dallas, TX, USA, 30 March–4 April 2003; pp. 166–172. [Google Scholar] [CrossRef]
  156. Wu, E.Y.; Vollertsen, R.P. On the weibull shape factor of intrinsic breakdown of dielectric films and its accurate experimental determination-part I: Theory, methodology, experimental techniques. IEEE Trans. Electron. Devices 2002, 49, 2131–2140. [Google Scholar] [CrossRef]
  157. Gismatulin, A.A.; Kamaev, G.N.; Volodin, V.A.; Gritsenko, V.A. Charge Transport Mechanism in the Forming-Free Memristor Based on PECVD Silicon Oxynitride. Electronics 2023, 12, 598. [Google Scholar] [CrossRef]
  158. Sze, S.M.; Ng, K.K. Physics of Semiconductor Devices, 3rd ed.; Wiley: New York, NY, USA, 2006. [Google Scholar] [CrossRef]
  159. Ohring, M. Reliability and Failure of Electronic Materials and Devices; Academic Press: San Diego, CA, USA, 1998. [Google Scholar]
  160. Schottky, W. Über den Einfluss von Strukturwirkungen, besonders der Thomsonschen Bildkraft, auf die Elektronenemission der Metalle. Phys. Z. 1914, 15, 872–878. [Google Scholar]
  161. Fowler, R.H.; Nordheim, L. Electron emission in intense electric fields. Proc. R. Soc. Lond. Ser. A Contain. Pap. A Math. Phys. Character 1928, 119, 173–181. [Google Scholar] [CrossRef]
  162. Frenkel, J. On Pre-Breakdown Phenomena in Insulators and Electronic Semi-Conductors. Phys. Rev. 1938, 54, 647–648. [Google Scholar] [CrossRef]
  163. Hill, R.M. Poole-Frenkel conduction in amorphous solids. Philos. Mag. A J. Theor. Exp. Appl. Phys. 1971, 23, 59–86. [Google Scholar] [CrossRef]
  164. Adachi, H.; Shibata, Y.; Ono, S. On electronic conduction through evaporated silicon oxide films. J. Phys. D Appl. Phys. 1971, 4, 988. [Google Scholar] [CrossRef]
  165. Makram-Ebeid, S.; Lannoo, M. Quantum model for phonon-assisted tunnel ionization of deep levels in a semiconductor. Phys. Rev. B 1982, 25, 6406–6424. [Google Scholar] [CrossRef]
  166. Nasyrov, K.A.; Gritsenko, V.A. Charge transport in dielectrics via tunneling between traps. J. Appl. Phys. 2011, 109, 093705. [Google Scholar] [CrossRef]
  167. Lloyd, J.R.; Liniger, E.; Shaw, T.M. Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 2005, 98, 084109. [Google Scholar] [CrossRef]
  168. Wu, C.; Li, Y.; Barbarin, Y.; Ciofi, I.; Tang, B.; Kauerauf, T.; Croes, K.; Bommels, J.; De Wolf, I.; Tokei, Z. Towards the understanding of intrinsic degradation and breakdown mechanisms of a SiOCH low-k dielectric. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; pp. 3A.2.1–3A.2.6. [Google Scholar] [CrossRef]
  169. Gischia, G.G.; Croes, K.; Groeseneken, G.; Tokei, Z.; Afanas’ev, V.; Zhao, L. Study of leakage mechanism and trap density in porous low-k materials. In Proceedings of the 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, USA, 2–6 May 2010; pp. 549–555. [Google Scholar] [CrossRef]
  170. Perevalov, T.V.; Gismatulin, A.A.; Dolbak, A.E.; Gritsenko, V.A.; Trofimova, E.S.; Pustovarov, V.A.; Seregin, D.S.; Vorotilov, K.A.; Baklanov, M.R. Charge Transport Mechanism and Trap Origin in Methyl-Terminated Organosilicate Glass Low-κ Dielectrics. Phys. Status Solidi (a) 2020, 218, 2000654. [Google Scholar] [CrossRef]
  171. Perevalov, T.V.; Gismatulin, A.A.; Gritsenko, V.A.; Xu, H.; Zhang, J.; Vorotilov, K.A.; Baklanov, M.R. Charge Transport Mechanism in a PECVD Deposited Low-k SiOCH Dielectric. J. Electron. Mater. 2022, 51, 2521–2527. [Google Scholar] [CrossRef]
  172. Islamov, D.R.; Gritsenko, V.A.; Perevalov, T.V.; Orlov, O.M.; Krasnikov, G.Y. The charge transport mechanism and electron trap nature in thermal oxide on silicon. Appl. Phys. Lett. 2016, 109, 052901. [Google Scholar] [CrossRef]
  173. Lloyd, J.R.; Liniger, E.; Chen, S.T. Time dependent dielectric breakdown in a low-k interlevel dielectric. Microelectron. Reliab. 2004, 44, 1861–1865. [Google Scholar] [CrossRef]
  174. Wu, C.; Li, Y.; Leśniewska, A.; Varela Pedreira, O.; De Marneffe, J.F.; Ciofi, I.; Verdonck, P.; Baklanov, M.R.; Bömmels, J.; De Wolf, I.; et al. Correlation between stress-induced leakage current and dielectric degradation in ultra-porous SiOCH low-k materials. J. Appl. Phys. 2015, 118, 164101. [Google Scholar] [CrossRef]
  175. Gritsenko, V.; Wong, H. Atomic and Electronic Structures of Traps in Silicon Oxide and Silicon Oxynitride. Crit. Rev. Solid State Mater. Sci. 2011, 36, 129–147. [Google Scholar] [CrossRef]
Figure 1. Structure of amorphous SiO2 (a) and porous methyl-terminated organosilicate glass (OSG) material (b), where some oxygen bridging atoms in the SiO2 structure are replaced by terminal alkyl groups R. (c) Periodic mesoporous organosilica (PMO) with carbon bridges between Si atoms and methyl terminal groups on the pore wall surface. PMO materials are normally synthesized using sol–gel technology.
Figure 1. Structure of amorphous SiO2 (a) and porous methyl-terminated organosilicate glass (OSG) material (b), where some oxygen bridging atoms in the SiO2 structure are replaced by terminal alkyl groups R. (c) Periodic mesoporous organosilica (PMO) with carbon bridges between Si atoms and methyl terminal groups on the pore wall surface. PMO materials are normally synthesized using sol–gel technology.
Polymers 16 02230 g001
Figure 2. FTIR spectra of organosilicate glass (OSG) films: 1—methylsilsesquioxane (MSSQ), and periodic mesoporous organosilicas (PMOs) with different bridges: 2—methylene, 3—ethylene, 4—1,4-phenylene, 100 mol%, annealed at 430 °C for 30 min in air.
Figure 2. FTIR spectra of organosilicate glass (OSG) films: 1—methylsilsesquioxane (MSSQ), and periodic mesoporous organosilicas (PMOs) with different bridges: 2—methylene, 3—ethylene, 4—1,4-phenylene, 100 mol%, annealed at 430 °C for 30 min in air.
Polymers 16 02230 g002
Figure 3. Characteristic X-ray photoelectron spectroscopy (XPS) spectra of the Si 2p peaks for the chemical solution-deposited (CSD) (a) and plasma-enhanced chemical vapor-deposited (PECVD) (b) methyl-terminated organosilicate glass (OSG) films deposited at different mass flow rate ratios of cinene porogen to triethoxymethylsilane: (a) 1.0; (b) 1.5; (c) 2.0. The presented pictures are redrawn from the data previously reported in our papers [46,47].
Figure 3. Characteristic X-ray photoelectron spectroscopy (XPS) spectra of the Si 2p peaks for the chemical solution-deposited (CSD) (a) and plasma-enhanced chemical vapor-deposited (PECVD) (b) methyl-terminated organosilicate glass (OSG) films deposited at different mass flow rate ratios of cinene porogen to triethoxymethylsilane: (a) 1.0; (b) 1.5; (c) 2.0. The presented pictures are redrawn from the data previously reported in our papers [46,47].
Polymers 16 02230 g003
Figure 4. Schematic representation of three different plasma chambers used in microelectronics processing. Inductive coupling plasma (ICP) (a) has the highest plasma density and can provide the highest isotropic etch rate, while capacitively coupled plasma (CCP) (b) offers a prefect anisotropic profile, but the etch rate is relatively low. For this reason, the reactors combining the ICP and CCP effects are used, and the etch rates and degree of plasma damage can be controlled [55]. Downstream plasma (DSP) (c) provides a soft regime and is mostly used for surface cleaning and resist removal when damage-free processing is important. The bottom picture depicts EFTEM results showing Si, C, and O profiles of low-k samples exposed in CCP (BPO), T&BP, and downstream (TPO), and mixed (T&BP) conditions. Reproduced from E. Kunnen, M. R. Baklanov, A. Franquet, D. Shamiryan, T. V. Rakhimova, A. M. Urbanowicz, H. Struyf, W. Boullart; Effect of energetic ions on plasma damage of porous SiCOH low-k materials. J. Vac. Sci. Technol. B, 2010; 28 (3): 450–459 [55], with the permission of AVS: Science & Technology of Materials, Interfaces, and Processing.
Figure 4. Schematic representation of three different plasma chambers used in microelectronics processing. Inductive coupling plasma (ICP) (a) has the highest plasma density and can provide the highest isotropic etch rate, while capacitively coupled plasma (CCP) (b) offers a prefect anisotropic profile, but the etch rate is relatively low. For this reason, the reactors combining the ICP and CCP effects are used, and the etch rates and degree of plasma damage can be controlled [55]. Downstream plasma (DSP) (c) provides a soft regime and is mostly used for surface cleaning and resist removal when damage-free processing is important. The bottom picture depicts EFTEM results showing Si, C, and O profiles of low-k samples exposed in CCP (BPO), T&BP, and downstream (TPO), and mixed (T&BP) conditions. Reproduced from E. Kunnen, M. R. Baklanov, A. Franquet, D. Shamiryan, T. V. Rakhimova, A. M. Urbanowicz, H. Struyf, W. Boullart; Effect of energetic ions on plasma damage of porous SiCOH low-k materials. J. Vac. Sci. Technol. B, 2010; 28 (3): 450–459 [55], with the permission of AVS: Science & Technology of Materials, Interfaces, and Processing.
Polymers 16 02230 g004
Figure 5. The depth profiles, ranging from the top (0 nm) to the bottom of the film (105 nm), showing the depletion of model Si–CH3 bonds in a plasma-enhanced chemical vapor-deposited (PECVD) methyl-terminated organosilicate glass (OSG) film after exposure to 13.5, 58.4, 106, and 147 nm emissions for 7200 s. [Si–CH3]pristine refers to the initial SiCH3 concentration before exposure to VUV light, while [Si–CH3]exposed denotes the SiCH3 concentration after VUV exposure. The figure was taken from ref. [83].
Figure 5. The depth profiles, ranging from the top (0 nm) to the bottom of the film (105 nm), showing the depletion of model Si–CH3 bonds in a plasma-enhanced chemical vapor-deposited (PECVD) methyl-terminated organosilicate glass (OSG) film after exposure to 13.5, 58.4, 106, and 147 nm emissions for 7200 s. [Si–CH3]pristine refers to the initial SiCH3 concentration before exposure to VUV light, while [Si–CH3]exposed denotes the SiCH3 concentration after VUV exposure. The figure was taken from ref. [83].
Polymers 16 02230 g005
Figure 6. The average effective quantum yield for breaking Si–CH3 bonds by VUV photons depending on low-k dielectrics porosity. The figure was redrawn based on the data from ref. [83].
Figure 6. The average effective quantum yield for breaking Si–CH3 bonds by VUV photons depending on low-k dielectrics porosity. The figure was redrawn based on the data from ref. [83].
Polymers 16 02230 g006
Figure 7. (a) Jablonsky diagram depicting electron distribution from the highest occupied molecular orbital (HOMO) in a molecule in a singlet ground state. (b) Schema of the possible bond scission in the model periodic mesoporous organosilica (PMO) molecule, with the corresponding dissociation energy calculated as the difference between the free Gibbs energies of the molecule in the ground state and the products of dissociation.
Figure 7. (a) Jablonsky diagram depicting electron distribution from the highest occupied molecular orbital (HOMO) in a molecule in a singlet ground state. (b) Schema of the possible bond scission in the model periodic mesoporous organosilica (PMO) molecule, with the corresponding dissociation energy calculated as the difference between the free Gibbs energies of the molecule in the ground state and the products of dissociation.
Polymers 16 02230 g007
Figure 8. Structural models for SiO2 defects: (a) non-bridging oxygen hole center, NBOHC; (b) peroxy radical, POR; (c) peroxy linkage, POL; and defects with a deficit of oxygen: (d) E’ and (e) E‘δ centers; (f) relaxed oxygen vacancy, ODC(I); and (g) divalent silicon, ODC(II). Spin states are indicated by the arrows.
Figure 8. Structural models for SiO2 defects: (a) non-bridging oxygen hole center, NBOHC; (b) peroxy radical, POR; (c) peroxy linkage, POL; and defects with a deficit of oxygen: (d) E’ and (e) E‘δ centers; (f) relaxed oxygen vacancy, ODC(I); and (g) divalent silicon, ODC(II). Spin states are indicated by the arrows.
Polymers 16 02230 g008
Figure 9. Representative K-band electron spin resonance (ESR) spectra measured at 4.3 K on p-Si(100) crystal substrates with 200 nm thick layers of chemical vapor-deposited (CVD)-grown a-SiO2 (CVD, k = 4.2) (a), nanocrystalline silica (NCS, k = 2.3, porosity 30%, pore size ~2 nm) prepared by spin-on coating (b), and CVD-grown carbon-doped oxide (BD, k = 3.0 and 7% ellipsometric porosimetry (EP)-measured open porosity, pore size ~1.8 nm) without (c) and with (d) the plasma surface treatment. See ref. [109] for more detail. Reproduced from M. R. Baklanov, V. Jousseaume, T. V. Rakhimova, D. V. Lopaev, Yu. A. Mankelevich, V. V. Afanas’ev, J. L. Shohet, S. W. King, E. T. Ryan; Impact of VUV photons on SiO2 and organosilicate low-k dielectrics: General behavior, practical applications, and atomic models. Appl. Phys. Rev., 2019; 6 (1): 011301 [25] (Figure 39); and permission for underlying Figure from S. Shamuilia, V. V. Afanas’ev, P. Somers, A. Stesmans, Y.-L. Li, Zs. Tőkei, G. Groeseneken, K. Maex; Internal photoemission of electrons at interfaces of metals with low-κ insulators. Appl. Phys. Lett., 2006; 89 (20): 202909 [109] (Figure 3), with the permission of AIP Publishing.
Figure 9. Representative K-band electron spin resonance (ESR) spectra measured at 4.3 K on p-Si(100) crystal substrates with 200 nm thick layers of chemical vapor-deposited (CVD)-grown a-SiO2 (CVD, k = 4.2) (a), nanocrystalline silica (NCS, k = 2.3, porosity 30%, pore size ~2 nm) prepared by spin-on coating (b), and CVD-grown carbon-doped oxide (BD, k = 3.0 and 7% ellipsometric porosimetry (EP)-measured open porosity, pore size ~1.8 nm) without (c) and with (d) the plasma surface treatment. See ref. [109] for more detail. Reproduced from M. R. Baklanov, V. Jousseaume, T. V. Rakhimova, D. V. Lopaev, Yu. A. Mankelevich, V. V. Afanas’ev, J. L. Shohet, S. W. King, E. T. Ryan; Impact of VUV photons on SiO2 and organosilicate low-k dielectrics: General behavior, practical applications, and atomic models. Appl. Phys. Rev., 2019; 6 (1): 011301 [25] (Figure 39); and permission for underlying Figure from S. Shamuilia, V. V. Afanas’ev, P. Somers, A. Stesmans, Y.-L. Li, Zs. Tőkei, G. Groeseneken, K. Maex; Internal photoemission of electrons at interfaces of metals with low-κ insulators. Appl. Phys. Lett., 2006; 89 (20): 202909 [109] (Figure 3), with the permission of AIP Publishing.
Polymers 16 02230 g009
Figure 10. UV absorption spectra of organosilicate glass (OSG) films with various bridging groups shown in Figure 11: 1a—SiO2, 2a—OSG with 1 methyl terminal group in the fragment, 3a—OSG with 2 methyl groups, 1b and 2b—one bridging methylene and 6 methyl terminal groups, 3b—ethylene bridge and 6 methyl terminal groups, 4b—1,4-benzene bridge, 5b—hyperconnected 1,3,5-benzene bridge.
Figure 10. UV absorption spectra of organosilicate glass (OSG) films with various bridging groups shown in Figure 11: 1a—SiO2, 2a—OSG with 1 methyl terminal group in the fragment, 3a—OSG with 2 methyl groups, 1b and 2b—one bridging methylene and 6 methyl terminal groups, 3b—ethylene bridge and 6 methyl terminal groups, 4b—1,4-benzene bridge, 5b—hyperconnected 1,3,5-benzene bridge.
Polymers 16 02230 g010
Figure 11. The fragments representing organosilicate glass (OSG) materials with different bridging groups and configurations. The numbers corresponding to the absorption spectra are shown in Figure 10: 1a—SiO2, 2a—OSG with 1 methyl terminal group in the fragment, 3a—OSG with 2 methyl groups, 1b and 2b—one bridging methylene and 6 methyl terminal groups, 3b—ethylene bridge and 6 methyl terminal groups, 4b—1,4-benzene bridge, 5b—hyperconnected 1,3,5-benzene bridge. A challenge of such calculations is the selection of an appropriate cluster reflecting the real absorption spectrum of the bulk material. The absorption spectra calculated for the SiO2 cluster are in good agreement with the measured spectra, confirming that the calculated spectra are realistic [117,118].
Figure 11. The fragments representing organosilicate glass (OSG) materials with different bridging groups and configurations. The numbers corresponding to the absorption spectra are shown in Figure 10: 1a—SiO2, 2a—OSG with 1 methyl terminal group in the fragment, 3a—OSG with 2 methyl groups, 1b and 2b—one bridging methylene and 6 methyl terminal groups, 3b—ethylene bridge and 6 methyl terminal groups, 4b—1,4-benzene bridge, 5b—hyperconnected 1,3,5-benzene bridge. A challenge of such calculations is the selection of an appropriate cluster reflecting the real absorption spectrum of the bulk material. The absorption spectra calculated for the SiO2 cluster are in good agreement with the measured spectra, confirming that the calculated spectra are realistic [117,118].
Polymers 16 02230 g011
Figure 12. Change in the absorption coefficient and index of refraction of plasma-enhanced chemical vapor-deposited (PECVD) organosilicate glass (OSG) films UV-cured at different times (a,b) and the films deposited with different porogen concentrations (c,d). The measured dielectric constant correlates with porosity via the Clausius–Mossotti equation: low dielectric constant corresponds to higher porosity, and therefore, to a higher porogen concentration. T is the optimal curing time used for the fabrication of a standard low-k film.
Figure 12. Change in the absorption coefficient and index of refraction of plasma-enhanced chemical vapor-deposited (PECVD) organosilicate glass (OSG) films UV-cured at different times (a,b) and the films deposited with different porogen concentrations (c,d). The measured dielectric constant correlates with porosity via the Clausius–Mossotti equation: low dielectric constant corresponds to higher porosity, and therefore, to a higher porogen concentration. T is the optimal curing time used for the fabrication of a standard low-k film.
Polymers 16 02230 g012
Figure 13. Change in film porosity versus curing time (T). Calculations from the curves presented in Figure 12b using Equation (8). The values of refractive indices at 1.8–2.0 eV are used for the calculation because the extinction coefficient is equal to zero in this region, and Equation (8) is valid.
Figure 13. Change in film porosity versus curing time (T). Calculations from the curves presented in Figure 12b using Equation (8). The values of refractive indices at 1.8–2.0 eV are used for the calculation because the extinction coefficient is equal to zero in this region, and Equation (8) is valid.
Polymers 16 02230 g013
Figure 14. Band alignment for organosilicate glass (OSG) low-k/barrier interconnect structure with energy position of defect states related to oxygen-deficient center (ODC) and porogen residues as reported in the papers by King [97] and Marsik [131]. The Schottky barrier between TaN/Ta barrier and low-k dielectrics, equal to 4.5 ± 0.5 eV, was measured by using internal photoemission (IPE) measurements by both Shamiulia [109] and Atkin [119].
Figure 14. Band alignment for organosilicate glass (OSG) low-k/barrier interconnect structure with energy position of defect states related to oxygen-deficient center (ODC) and porogen residues as reported in the papers by King [97] and Marsik [131]. The Schottky barrier between TaN/Ta barrier and low-k dielectrics, equal to 4.5 ± 0.5 eV, was measured by using internal photoemission (IPE) measurements by both Shamiulia [109] and Atkin [119].
Polymers 16 02230 g014
Figure 15. Change in dielectric constant (a) and breakdown field (b) on porosity of plasma-enhanced chemical vapor-deposited (PECVD) low-k films. Reproduced from E. Van Besien, M. Pantouvaki, L. Zhao, D. De Roest, M.R. Baklanov, Z. Tőkei, G. Beyer; Influence of porosity on electrical properties of low-k dielectrics. Microelectronic Engineering, 2012, 92: 59–61 [136], with the permission of Elsevier.
Figure 15. Change in dielectric constant (a) and breakdown field (b) on porosity of plasma-enhanced chemical vapor-deposited (PECVD) low-k films. Reproduced from E. Van Besien, M. Pantouvaki, L. Zhao, D. De Roest, M.R. Baklanov, Z. Tőkei, G. Beyer; Influence of porosity on electrical properties of low-k dielectrics. Microelectronic Engineering, 2012, 92: 59–61 [136], with the permission of Elsevier.
Polymers 16 02230 g015
Figure 16. (a) Valence band X-ray photoelectron spectroscopy (XPS) spectra of an a-SiCOH (k = 3.3) film before and after ion sputtering, where the “0” binding energy corresponds to the energy of the Fermi level. (b) Schematic representation of the density of states of an a-SiCOH (k = 3.3) film before and after ion sputtering. Reproduced from X. Guo, H. Zheng, S. W. King, V. V. Afanas’ev, M. R. Baklanov, J.-F. de Marneffe, Y. Nishi, J. L. Shohet; Defect-induced bandgap narrowing in low-k dielectrics. Appl. Phys. Lett., 2015; 107 (8): 082903 [135], with the permission of AIP Publishing.
Figure 16. (a) Valence band X-ray photoelectron spectroscopy (XPS) spectra of an a-SiCOH (k = 3.3) film before and after ion sputtering, where the “0” binding energy corresponds to the energy of the Fermi level. (b) Schematic representation of the density of states of an a-SiCOH (k = 3.3) film before and after ion sputtering. Reproduced from X. Guo, H. Zheng, S. W. King, V. V. Afanas’ev, M. R. Baklanov, J.-F. de Marneffe, Y. Nishi, J. L. Shohet; Defect-induced bandgap narrowing in low-k dielectrics. Appl. Phys. Lett., 2015; 107 (8): 082903 [135], with the permission of AIP Publishing.
Polymers 16 02230 g016
Figure 17. (a) The leakage current and breakdown voltage of different types of organosilicate glass (OSG) low-k films with k values changing from 3.0 (CVD1, CVD4, CVD5) to k = 2.3 (CVD3) [144]. (b) Comparison of leakage current of CVD3 with organic low-k films (Samples 8 and 9 in Table 5) and sol–gel-based SOG films deposited by using a self-assembling approach. Reproduced from M. R. Baklanov, L. Zhao, E. V. Besien, M. Pantouvaki; Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectronic Engineering, 2011, 88: 990–993 [144], with the permission of Elsevier.
Figure 17. (a) The leakage current and breakdown voltage of different types of organosilicate glass (OSG) low-k films with k values changing from 3.0 (CVD1, CVD4, CVD5) to k = 2.3 (CVD3) [144]. (b) Comparison of leakage current of CVD3 with organic low-k films (Samples 8 and 9 in Table 5) and sol–gel-based SOG films deposited by using a self-assembling approach. Reproduced from M. R. Baklanov, L. Zhao, E. V. Besien, M. Pantouvaki; Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectronic Engineering, 2011, 88: 990–993 [144], with the permission of Elsevier.
Polymers 16 02230 g017
Figure 18. Leakage current density as a function of the applied electric field recorded on metal–insulator–semiconductor (MIS) structures with SOG-2.2 low-k films hard-baked (HB)/hard-baked and UV-cured (HB + UV) for different times. Reproduced from M. Krishtab, V. Afanas’ev, A. Stesmans, S. De Gendt; Leakage current induced by surfactant residues in self-assembly based ultralow-k dielectric materials. Appl. Phys. Lett., 2017; 111 (3): 032908 [146], with the permission of AIP Publishing.
Figure 18. Leakage current density as a function of the applied electric field recorded on metal–insulator–semiconductor (MIS) structures with SOG-2.2 low-k films hard-baked (HB)/hard-baked and UV-cured (HB + UV) for different times. Reproduced from M. Krishtab, V. Afanas’ev, A. Stesmans, S. De Gendt; Leakage current induced by surfactant residues in self-assembly based ultralow-k dielectric materials. Appl. Phys. Lett., 2017; 111 (3): 032908 [146], with the permission of AIP Publishing.
Polymers 16 02230 g018
Figure 19. (a) Current density as a function of the applied electrical field for porogen residue-free low-k films with different levels of porosity, as measured by metal dots. (b) Dielectric breakdown field as a function of open porosity at 25 °C. Reproduced from K. Vanstreels, I. Ciofi, Y. Barbarin, M. Baklanov; Influence of porosity on dielectric breakdown of ultralow-k dielectrics. J. Vac. Sci. Technol. B, 2013; 31 (5): 050604 [147], with the permission of AVS: Science & Technology of Materials, Interfaces, and Processing.
Figure 19. (a) Current density as a function of the applied electrical field for porogen residue-free low-k films with different levels of porosity, as measured by metal dots. (b) Dielectric breakdown field as a function of open porosity at 25 °C. Reproduced from K. Vanstreels, I. Ciofi, Y. Barbarin, M. Baklanov; Influence of porosity on dielectric breakdown of ultralow-k dielectrics. J. Vac. Sci. Technol. B, 2013; 31 (5): 050604 [147], with the permission of AVS: Science & Technology of Materials, Interfaces, and Processing.
Polymers 16 02230 g019
Figure 20. Contact-limited conduction mechanisms: (a) Schottky effect, (b) thermally assisted tunneling at the contact, (c) Fowler–Nordheim effect; bulk-limited conduction mechanisms: (d) Frenkel effect, (e) Hill–Adachi model, (f) Makram–Ebeid and Lanno model, (g) Nasyrov–Gritsenko model. Here, e—elementary charge, F—electric field, W0—barrier height, W—trap ionization energy, Wt—thermal trap ionization energy, a—average distance between traps, EC—conduction band bottom, EV—valence band top, β—is the Frenkel constant, VG—is the applied voltage.
Figure 20. Contact-limited conduction mechanisms: (a) Schottky effect, (b) thermally assisted tunneling at the contact, (c) Fowler–Nordheim effect; bulk-limited conduction mechanisms: (d) Frenkel effect, (e) Hill–Adachi model, (f) Makram–Ebeid and Lanno model, (g) Nasyrov–Gritsenko model. Here, e—elementary charge, F—electric field, W0—barrier height, W—trap ionization energy, Wt—thermal trap ionization energy, a—average distance between traps, EC—conduction band bottom, EV—valence band top, β—is the Frenkel constant, VG—is the applied voltage.
Polymers 16 02230 g020aPolymers 16 02230 g020b
Figure 21. Experimental (characters) and simulations with N-G model (black dash lines) current-voltage characteristics of the (a) periodic mesoporous organosilicas (PMO) carbon-bridged low-k dielectric [156], (b) methyl-terminated spin-on deposited OSG [157], and (c) PECVD methyl-terminated organosilicate glass (OSG) low-k dielectric [158]. The film thickness is 220 nm and the contact size is 0.5 mm2.
Figure 21. Experimental (characters) and simulations with N-G model (black dash lines) current-voltage characteristics of the (a) periodic mesoporous organosilicas (PMO) carbon-bridged low-k dielectric [156], (b) methyl-terminated spin-on deposited OSG [157], and (c) PECVD methyl-terminated organosilicate glass (OSG) low-k dielectric [158]. The film thickness is 220 nm and the contact size is 0.5 mm2.
Polymers 16 02230 g021
Figure 22. A dielectric breakdown comparison for different low-k dielectrics. In this graph, the right two curves reflect SiO2 layers fabricated by thermal oxidation of Si and plasma-enhanced chemical vapor-deposited (PECVD) SiO2. LK are OSG low-k dielectrics with k values from 2.5 to 3.0, and ultra-low-k (ULK) are low-k dielectrics with k values from 2.5 to 2.0. The figure is copied from E. T. Ogawa, O. Aubel; Electrical Breakdown. In Advanced Interconnect Dielectrics, 2012; pp. 369–434 [10], with the permission of Wiley & Sons.
Figure 22. A dielectric breakdown comparison for different low-k dielectrics. In this graph, the right two curves reflect SiO2 layers fabricated by thermal oxidation of Si and plasma-enhanced chemical vapor-deposited (PECVD) SiO2. LK are OSG low-k dielectrics with k values from 2.5 to 3.0, and ultra-low-k (ULK) are low-k dielectrics with k values from 2.5 to 2.0. The figure is copied from E. T. Ogawa, O. Aubel; Electrical Breakdown. In Advanced Interconnect Dielectrics, 2012; pp. 369–434 [10], with the permission of Wiley & Sons.
Polymers 16 02230 g022
Table 1. Examples of the matrix and porogen precursors commonly used for chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), and hot-filament CVD (HFCVD). Examples of their applications, properties of the deposited organosilicate glass (OSG), films, and the corresponding references can be found in ref. [21].
Table 1. Examples of the matrix and porogen precursors commonly used for chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), and hot-filament CVD (HFCVD). Examples of their applications, properties of the deposited organosilicate glass (OSG), films, and the corresponding references can be found in ref. [21].
CVD/PECVD/HFCVD Matrix Precursors
Diethoxy-methyl-silane
(DEMS)
Tetramethyl-cyclotetrasiloxane
(TMCTS)
Deca-methyl-cyclo-pentasiloxane
(DMCPS)
Polymers 16 02230 i001Polymers 16 02230 i002Polymers 16 02230 i003
Diethoxy-methyl-oxiranyl-silaneDimethyl-dioxiranyl-silaneTrimethyl-trivinyl-cyclotrisiloxane
(V3D3)
Polymers 16 02230 i004Polymers 16 02230 i005Polymers 16 02230 i006
Porogens
Norbornadiene (NBD)Norbornene (NBE)a-terpinene (ATRP)
Polymers 16 02230 i007Polymers 16 02230 i008Polymers 16 02230 i009
Cyclopentene oxide (CPO)Cyclohexene oxide (CHO)Butadiene monoxide (BMO)
Polymers 16 02230 i010Polymers 16 02230 i011Polymers 16 02230 i012
Table 2. The most typical examples of matrix precursors and surfactants used for chemical solution deposition (CSD) of organosilica films [1,28,29].
Table 2. The most typical examples of matrix precursors and surfactants used for chemical solution deposition (CSD) of organosilica films [1,28,29].
CSD Matrix Precursors
Tetraethoxysilane
(TEOS)
Methyltrimethoxysilane
(MTMS)
1,2-bis(triethoxysilyl)methane
(BTESM)
Polymers 16 02230 i013Polymers 16 02230 i014Polymers 16 02230 i015
1,2-bis(trimethoxysilyl)ethane
(BTMSE)
1,4-bis(triethoxysilyl)benzene
(BTESB)
1,3,5-tris(triethoxysilyl)benzene
Polymers 16 02230 i016Polymers 16 02230 i017Polymers 16 02230 i018
Surfactants
Nonionic:
Polyoxyethylene
alkyl ethers
(Brij®)
Poly(ethylene glycol)-
poly(propylene glycol)-
poly(ethylene glycol)
(Pluronic®)
Polymers 16 02230 i019
Brij® L4: m = 11, n = 4;
Brij® C2: m = 15, n = 2;
Brij® C10: m = 15, n = 10;
Brij® S10: m = 17, n = 10
Polymers 16 02230 i020
Pluronic® P123: m = 20, n = 70;
Pluronic® F127: m = 100, n = 65
Ionic:Alkyltrimethylammonium
bromide (CnTMABr)
Alkyltrimethylammonium
chloride (CnTMACl)
Polymers 16 02230 i021
cetyltrimethylammonium
bromide (CTAB): n = 15;
octadecyltrimethylammonium
bromide (OTAB): n = 17
Polymers 16 02230 i022
cetyltrimethylammonium
chloride (CTAC): n = 15;
octadecyltrimethylammonium
chloride (OTAC): n = 17
Table 3. Optical bands that are most important for our analysis of intrinsic defects in SiO2 and the observed absorption bands in organosilicate glass (OSG) low-k films (eV).
Table 3. Optical bands that are most important for our analysis of intrinsic defects in SiO2 and the observed absorption bands in organosilicate glass (OSG) low-k films (eV).
DefectsE′NBOHCODC(I)ODC(II)sp3
Carbon
sp2
Carbon
Griscom [132]5.854.87.65.0--
Skuja [99]5.614.77.55.3--
Marsik [131]----6.24.5
King [97]--7.25.02–6
E′—oxygen vacancies, NBOHC—non-bridging oxygen hole centers, ODC—oxygen-deficient centers.
Table 4. Ion sputtering-related bandgap narrowing in plasma-enhanced chemical vapor-deposited (PECVD) methyl-terminated organosilicate glass (OSG) films with different porosity and different k values.
Table 4. Ion sputtering-related bandgap narrowing in plasma-enhanced chemical vapor-deposited (PECVD) methyl-terminated organosilicate glass (OSG) films with different porosity and different k values.
Sample
Number
Porosity
(%)
k
Value
Bandgap before
Ion Sputtering (eV)
Bandgap after
Ion Sputtering (eV)
103.38.16.8
2252.58.06.2
3342.28.36.1
Table 5. Different low-k materials used in this research and their characteristics. Curing with a 172 nm VUV light generates much more amorphous carbon-like porogen residue in comparison with broadband UV light with a wavelength (WL) > 200 nm.
Table 5. Different low-k materials used in this research and their characteristics. Curing with a 172 nm VUV light generates much more amorphous carbon-like porogen residue in comparison with broadband UV light with a wavelength (WL) > 200 nm.
Sample NumberLabelPorosity (%)K ValueCuring WL (nm)
1CVD1242.5>200
2CVD2242.5172
3CVD2 *242.5>200
4CVD3332.3172
5CVD3 *332.3>200
6CVD4±53.0No
7CVD5n/a3.2No
8SOG_org302.2No
9SOG_inorg352.3No
* Refer to ref. [144].
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Baklanov, M.R.; Gismatulin, A.A.; Naumov, S.; Perevalov, T.V.; Gritsenko, V.A.; Vishnevskiy, A.S.; Rakhimova, T.V.; Vorotilov, K.A. Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films. Polymers 2024, 16, 2230. https://doi.org/10.3390/polym16152230

AMA Style

Baklanov MR, Gismatulin AA, Naumov S, Perevalov TV, Gritsenko VA, Vishnevskiy AS, Rakhimova TV, Vorotilov KA. Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films. Polymers. 2024; 16(15):2230. https://doi.org/10.3390/polym16152230

Chicago/Turabian Style

Baklanov, Mikhail R., Andrei A. Gismatulin, Sergej Naumov, Timofey V. Perevalov, Vladimir A. Gritsenko, Alexey S. Vishnevskiy, Tatyana V. Rakhimova, and Konstantin A. Vorotilov. 2024. "Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films" Polymers 16, no. 15: 2230. https://doi.org/10.3390/polym16152230

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop