Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films
Abstract
:1. Introduction
2. Fabricating Organosilica Films
2.1. Chemical Vapor Deposition (CVD, PECVD, and HFCVD)
2.2. Spin-Coating Deposition
2.3. Matrix and Precursors
2.4. Porous Materials
2.5. Basic Characterization
2.5.1. Chemical Composition and Bonds Configuration
2.5.2. Porosity and Pore Structure
3. Modification of OSG by Plasma and VUV Radiation
Plasma Damage
4. Electrical Properties
4.1. The Optical Properties and Bandgap of Organosilica Films
4.1.1. Optical Properties of Various OSG Materials
4.1.2. Change of Optical Characteristics during UV Curing
4.1.3. Defect States in the Bandgap of Methyl-Terminated OSG Films
4.1.4. Effect of Porosity on the Bandgap
4.2. The Leakage Current
4.2.1. Effects of Porosity, Porogen Residue, and Adsorbed Moisture
4.2.2. Leakage through the Low-k Matrix
4.3. Dielectric Breakdown of Low-k
5. Conclusions
Supplementary Materials
Author Contributions
Funding
Institutional Review Board Statement
Data Availability Statement
Acknowledgments
Conflicts of Interest
Correction Statement
References
- Van der Voort, P.; Esquivel, D.; De Canck, E.; Goethals, F.; Van Driessche, I.; Romero-Salguero, F.J. Periodic Mesoporous Organosilicas: From simple to complex bridges; a comprehensive overview of functions, morphologies and applications. Chem. Soc. Rev. 2013, 42, 3913–3955. [Google Scholar] [CrossRef] [PubMed]
- Volksen, W.; Miller, R.D.; Dubois, G. Low dielectric constant materials. Chem. Rev. 2010, 110, 56–110. [Google Scholar] [CrossRef] [PubMed]
- Hatton, B.D.; Landskron, K.; Hunks, W.J.; Bennett, M.R.; Shukaris, D.; Perovic, D.D.; Ozin, G.A. Materials chemistry for low-k materials. Mater. Today 2006, 9, 22–31. [Google Scholar] [CrossRef]
- Lu, Y.; Ganguli, R.; Drewien, C.A.; Anderson, M.T.; Brinker, C.J.; Gong, W.; Guo, Y.; Soyez, H.; Dunn, B.; Huang, M.H.; et al. Continuous formation of supported cubic and hexagonal mesoporous films by sol–gel dip-coating. Nature 1997, 389, 364–368. [Google Scholar] [CrossRef]
- Li, H.; Knaup, J.M.; Kaxiras, E.; Vlassak, J.J. Stiffening of organosilicate glasses by organic cross-linking. Acta Mater. 2011, 59, 44–52. [Google Scholar] [CrossRef]
- Shamiryan, D.; Abell, T.; Iacopi, F.; Maex, K. Low-k dielectric materials. Mater. Today 2004, 7, 34–39. [Google Scholar] [CrossRef]
- Grill, A.; Gates, S.M.; Ryan, T.E.; Nguyen, S.V.; Priyadarshini, D. Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art. Appl. Phys. Rev. 2014, 1, 011306. [Google Scholar] [CrossRef]
- Maier, G. Low dielectric constant polymers for microelectronics. Prog. Polym. Sci. 2001, 26, 3–65. [Google Scholar] [CrossRef]
- Ho, P.S.; Leu, J.; Lee, W.W. Low Dielectric Constant Materials for IC Applications; Springer: Berlin, Germany, 2012. [Google Scholar] [CrossRef]
- Ogawa, E.T.; Aubel, O. Electrical Breakdown in Advanced Interconnect Dielectrics; Baklanov, M.R., Ho, P.S., Zschech, E., Eds.; Wiley: Oxford, UK, 2012; pp. 369–434. [Google Scholar] [CrossRef]
- Choy, K. Chemical vapour deposition of coatings. Prog. Mater. Sci. 2003, 48, 57–170. [Google Scholar] [CrossRef]
- Sun, L.; Yuan, G.; Gao, L.; Yang, J.; Chhowalla, M.; Gharahcheshmeh, M.H.; Gleason, K.K.; Choi, Y.S.; Hong, B.H.; Liu, Z. Chemical vapour deposition. Nat. Rev. Methods Primers 2021, 1, 5–63. [Google Scholar] [CrossRef]
- Grill, A. Cold Plasma in Materials Fabrication; Wiley—IEEE Press: New York, NY, USA, 1994. [Google Scholar]
- Lewis, H.G.P.; Casserly, T.B.; Gleason, K.K. Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane. J. Electrochem. Soc. 2001, 148, F212. [Google Scholar] [CrossRef]
- Bornside, D.E.; Macosko, C.W.; Scriven, L.E. Spin coating: One-dimensional model. J. Appl. Phys. 1989, 66, 5185–5193. [Google Scholar] [CrossRef]
- Bornside, D.E.; Macosko, C.W.; Scriven, L.E. Modeling of spin coating. J. Imaging Technol. 1987, 13, 122–130. [Google Scholar]
- Bornside, D.E. Mechanism for the Local Planarization of Microscopically Rough Surfaces by Drying Thin Films of Spin-Coated Polymer/Solvent Solutions. J. Electrochem. Soc. 1990, 137, 2589. [Google Scholar] [CrossRef]
- Sukanek, P.C. Dependence of Film Thickness on Speed in Spin Coating. J. Electrochem. Soc. 1991, 138, 1712. [Google Scholar] [CrossRef]
- Zhang, L.; de Marneffe, J.F.; Heylen, N.; Murdoch, G.; Tokei, Z.; Boemmels, J.; De Gendt, S.; Baklanov, M.R. Damage free integration of ultralow-k dielectrics by template replacement approach. Appl. Phys. Lett. 2015, 107, 092901. [Google Scholar] [CrossRef]
- You, H.; Mennell, P.; Shoudy, M.; Sil, D.; Dorman, D.; Cohen, S.; Liniger, E.; Shaw, T.; Leo, T.-L.; Canaperi, D.; et al. Extreme-low k porous pSiCOH dielectrics prepared by PECVD. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2018, 36, 012202. [Google Scholar] [CrossRef]
- Jousseaume, V.; Zenasni, A.; Gourhant, O.; Favennec, L.; Baklanov, M.R. Ultra-Low-k by CVD: Deposition and Curing. In Advanced Interconnects for ULSI Technology; Wiley: Oxford, UK, 2012; pp. 35–77. [Google Scholar] [CrossRef]
- Iacopi, F.; Travaly, Y.; Eyckens, B.; Waldfried, C.; Abell, T.; Guyer, E.P.; Gage, D.M.; Dauskardt, R.H.; Sajavaara, T.; Houthoofd, K.; et al. Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation. J. Appl. Phys. 2006, 99, 053511. [Google Scholar] [CrossRef]
- Marsik, P.; Urbanowicz, A.M.; Verdonck, P.; De Roest, D.; Sprey, H.; Baklanov, M.R. Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance. Thin Solid. Film. 2011, 519, 3619–3626. [Google Scholar] [CrossRef]
- Gourhant, O.; Gerbaud, G.; Zenasni, A.; Favennec, L.; Gonon, P.; Jousseaume, V. Crosslinking of porous SiOCH films involving Si–O–C bonds: Impact of deposition and curing. J. Appl. Phys. 2010, 108, 124105. [Google Scholar] [CrossRef]
- Baklanov, M.R.; Jousseaume, V.; Rakhimova, T.V.; Lopaev, D.V.; Mankelevich, Y.A.; Afanas’ev, V.V.; Shohet, J.L.; King, S.W.; Ryan, E.T. Impact of VUV photons on SiO2 and organosilicate low-k dielectrics: General behavior, practical applications, and atomic models. Appl. Phys. Rev. 2019, 6, 011301. [Google Scholar] [CrossRef]
- Brinker, C.J. Evaporation-Induced Self-Assembly: Functional Nanostructures Made Easy. MRS Bull. 2011, 29, 631–640. [Google Scholar] [CrossRef]
- Doshi, D.A.; Gibaud, A.; Goletto, V.; Lu, M.; Gerung, H.; Ocko, B.; Han, S.M.; Brinker, C.J. Peering into the self-assembly of surfactant templated thin-film silica mesophases. J. Am. Chem. Soc. 2003, 125, 11646–11655. [Google Scholar] [CrossRef]
- Redzheb, M.; Van Der Voort, P.; Armini, S. Template-dependent hydrophobicity in mesoporous organosilica films. Microporous Mesoporous Mater. 2018, 259, 111–115. [Google Scholar] [CrossRef]
- Goethals, F. Periodic Mesoporous Organosilicas for Application as Low-k Dielectric Materials. Ph.D. Thesis, Ghent University, Ghent, Belgium, 2012. Available online: https://biblio.ugent.be/publication/3069897 (accessed on 3 June 2024).
- Seregin, D.S.; Vishnevskiy, A.S.; Vorotyntsev, D.A.; Mokrushev, P.A.; Vorotilov, K.A. Effect of Surfactant and Solvent on the Pore Structure of Organosilica Glass Film. Russ. Microelectron. 2024, 52, S232–S239. [Google Scholar] [CrossRef]
- Raman, N.K.; Anderson, M.T.; Brinker, C.J. Template-Based Approaches to the Preparation of Amorphous, Nanoporous Silicas. Chem. Mater. 1996, 8, 1682–1701. [Google Scholar] [CrossRef]
- Ting, C.-Y.; Sheu, H.-S.; Wu, W.-F.; Wan, B.-Z. Porosity Effects on Properties of Mesoporous Silica Low-k Films Prepared Using Tetraethylorthosilicate with Different Templates. J. Electrochem. Soc. 2007, 154, G1–G5. [Google Scholar] [CrossRef]
- Shen, J.; Luo, A.; Yao, L.; Lin, X.; Zhou, B.; Wu, G.; Ni, X. Low dielectric constant silica films with ordered nanoporous structure. Mater. Sci. Eng. C 2007, 27, 1145–1148. [Google Scholar] [CrossRef]
- Michalak, D.J.; Blackwell, J.M.; Torres, J.M.; Sengupta, A.; Kreno, L.E.; Clarke, J.S.; Pantuso, D. Porosity scaling strategies for low-k films. J. Mater. Res. 2015, 30, 3363–3385. [Google Scholar] [CrossRef]
- King, S.; Plombon, J.; Bielefeld, J.; Blackwell, J.; Vyas, S.; Chebiam, R.; Naylor, C.; Michalak, D.; Kobrinsky, M.; Gstrein, F.; et al. A Selectively Colorful yet Chilly Perspective on the Highs and Lows of Dielectric Materials for CMOS Nanoelectronics. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 40.1.1–40.1.4. [Google Scholar] [CrossRef]
- Brinker, C.J.; Scherer, G.W. Sol-Gel Science. In The Physics and Chemistry of Sol-Gel Processing; Academic Press: New York, NY, USA, 1990. [Google Scholar]
- Grill, A.; Neumayer, D.A. Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. J. Appl. Phys. 2003, 94, 6697–6707. [Google Scholar] [CrossRef]
- Baklanov, M.R.; de Marneffe, J.-F.; Shamiryan, D.; Urbanowicz, A.M.; Shi, H.; Rakhimova, T.V.; Huang, H.; Ho, P.S. Plasma processing of low-k dielectrics. J. Appl. Phys. 2013, 113, 041101. [Google Scholar] [CrossRef]
- Vishnevskiy, A.S.; Naumov, S.; Seregin, D.S.; Wu, Y.H.; Chuang, W.T.; Rasadujjaman, M.; Zhang, J.; Leu, J.; Vorotilov, K.A.; Baklanov, M.R. Effects of Methyl Terminal and Carbon Bridging Groups Ratio on Critical Properties of Porous Organosilicate-Glass Films. Materials 2020, 13, 4484. [Google Scholar] [CrossRef] [PubMed]
- Socrates, G. Infrared and Raman Characteristic Group Frequencies: Tables and Charts, 3rd ed.; John Wiley & Sons: Chichester, UK, 2001; p. 368. [Google Scholar] [CrossRef]
- Griffiths, P.R. The Handbook of Infrared and Raman Characteristic Frequencies of Organic Molecules; Academic Press: New York, NY, USA, 1991; p. 503. [Google Scholar] [CrossRef]
- Redzheb, M.; Prager, L.; Naumov, S.; Krishtab, M.; Armini, S.; Van Der Voort, P.; Baklanov, M.R. Effect of the C-bridge length on the ultraviolet-resistance of oxycarbosilane low-k films. Appl. Phys. Lett. 2016, 108, 012902. [Google Scholar] [CrossRef]
- Kim, S.; Toivola, Y.; Cook, R.F.; Char, K.; Chu, S.-H.; Lee, J.-k.; Yoon, D.Y.; Rhee, H.-W. Organosilicate Spin-on Glasses: I. Effect of Chemical Modification on Mechanical Properties. J. Electrochem. Soc. 2004, 151, F37. [Google Scholar] [CrossRef]
- Toivola, Y.; Kim, S.; Cook, R.F.; Char, K.; Lee, J.-k.; Yoon, D.Y.; Rhee, H.-W.; Kim, S.Y.; Jin, M.Y. Organosilicate Spin-On Glasses: II. Effect of Physical Modification on Mechanical Properties. J. Electrochem. Soc. 2004, 151, F45. [Google Scholar] [CrossRef]
- Fidalgo, A.; Ilharco, L.M. Correlation between physical properties and structure of silica xerogels. J. Non-Cryst. Solids 2004, 347, 128–137. [Google Scholar] [CrossRef]
- Kruchinin, V.N.; Volodin, V.A.; Rykhlitskii, S.V.; Gritsenko, V.A.; Posvirin, I.P.; Shi, X.; Baklanov, M.R. Atomic Structure and Optical Properties of Plasma Enhanced Chemical Vapor Deposited SiCOH Low-k Dielectric Film. Opt. Spectrosc. 2021, 129, 645–651. [Google Scholar] [CrossRef]
- Ding, Z.-J.; Wang, Y.-P.; Liu, W.-J.; Ding, S.-J.; Baklanov, M.R.; Zhang, D.W. Characterization of PECVD ultralow dielectric constant porous SiOCH films using triethoxymethylsilane precursor and cinene porogen. J. Phys. D Appl. Phys. 2018, 51, 115103. [Google Scholar] [CrossRef]
- Wirth, W.; Comeaux, J.; Jang, S. Characterization of flexible low-dielectric constant carbon-doped oxide (SiCOH) thin films under repeated mechanical bending stress. J. Mater. Sci. 2022, 57, 21411–21431. [Google Scholar] [CrossRef]
- Heo, J.; Kim, H.J.; Han, J.; Shon, J.-W. The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition. Thin Solid. Film. 2007, 515, 5035–5039. [Google Scholar] [CrossRef]
- Baklanov, M.R.; Mogilnikov, K.P.; Vishnevskiy, A.S. Challenges in porosity characterization of thin films: Cross-evaluation of different techniques. J. Vac. Sci. Technol. A 2023, 41, 050802. [Google Scholar] [CrossRef]
- Flamm, D.L.; Donnelly, V.M.; Mucha, J.A. The reaction of fluorine atoms with silicon. J. Appl. Phys. 1981, 52, 3633–3639. [Google Scholar] [CrossRef]
- Winters, H.F.; Coburn, J.W. Surface science aspects of etching reactions. Surf. Sci. Rep. 1992, 14, 162–269. [Google Scholar] [CrossRef]
- Standaert, T.E.F.M.; Joseph, E.A.; Oehrlein, G.S.; Jain, A.; Gill, W.N.; Wayner, P.C.; Plawsky, J.L. Etching of xerogel in high-density fluorocarbon plasmas. J. Vac. Sci. Technol. A Vac. Surf. Film. 2000, 18, 2742–2748. [Google Scholar] [CrossRef]
- Rakhimova, T.V.; Lopaev, D.V.; Mankelevich, Y.A.; Rakhimov, A.T.; Zyryanov, S.M.; Kurchikov, K.A.; Novikova, N.N.; Baklanov, M.R. Interaction of F atoms with SiOCH ultra-low-k films: I. Fluorination and damage. J. Phys. D Appl. Phys. 2015, 48, 175203. [Google Scholar] [CrossRef]
- Kunnen, E.; Baklanov, M.R.; Franquet, A.; Shamiryan, D.; Rakhimova, T.V.; Urbanowicz, A.M.; Struyf, H.; Boullart, W. Effect of energetic ions on plasma damage of porous SiCOH low-k materials. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2010, 28, 450–459. [Google Scholar] [CrossRef]
- Posseme, N.; Chevolleau, T.; Joubert, O.; Vallier, L.; Mangiagalli, P. Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2003, 21, 2432–2440. [Google Scholar] [CrossRef]
- Iba, Y.; Kirimura, T.; Sasaki, M.; Kobayashi, Y.; Nakata, Y.; Nakaishi, M. Effects of Etch Rate on Plasma-Induced Damage to Porous Low-k Films. Jpn. J. Appl. Phys. 2008, 47, 6923. [Google Scholar] [CrossRef]
- Safaverdi, S.; Barkema, G.T.; Kunnen, E.; Urbanowicz, A.M.; Maes, C. Saturation of front propagation in a reaction diffusion process describing plasma damage in porous low-k materials. Phys. Rev. B 2011, 83, 245320. [Google Scholar] [CrossRef]
- Braginsky, O.V.; Kovalev, A.S.; Lopaev, D.V.; Malykhin, E.M.; Mankelevich, Y.A.; Rakhimova, T.V.; Rakhimov, A.T.; Vasilieva, A.N.; Zyryanov, S.M.; Baklanov, M.R. The mechanism of low-k SiOCH film modification by oxygen atoms. J. Appl. Phys. 2010, 108, 073303. [Google Scholar] [CrossRef]
- Frot, T.; Volksen, W.; Purushothaman, S.; Bruce, R.L.; Magbitang, T.; Miller, D.C.; Deline, V.R.; Dubois, G. Post Porosity Plasma Protection: Scaling of Efficiency with Porosity. Adv. Funct. Mater. 2012, 22, 3043–3050. [Google Scholar] [CrossRef]
- Zhang, L.; Ljazouli, R.; Lefaucheux, P.; Tillocher, T.; Dussart, R.; Mankelevich, Y.A.; de Marneffe, J.F.; de Gendt, S.; Baklanov, M.R. Damage Free Cryogenic Etching of a Porous Organosilica Ultralow-k Film. ECS Solid. State Lett. 2012, 2, N5–N7. [Google Scholar] [CrossRef]
- Ryan, E.T.; Gates, S.M.; Grill, A.; Molis, S.; Flaitz, P.; Arnold, J.; Sankarapandian, M.; Cohen, S.A.; Ostrovski, Y.; Dimitrakopoulos, C. Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage. J. Appl. Phys. 2008, 104, 094109. [Google Scholar] [CrossRef]
- Goldman, M.A.; Graves, D.B.; Antonelli, G.A.; Behera, S.P.; Kelber, J.A. Oxygen radical and plasma damage of low-k organosilicate glass materials: Diffusion-controlled mechanism for carbon depletion. J. Appl. Phys. 2009, 106, 013311. [Google Scholar] [CrossRef]
- Worsley, M.A.; Bent, S.F.; Gates, S.M.; Fuller, N.C.M.; Volksen, W.; Steen, M.; Dalton, T. Effect of plasma interactions with low-κ films as a function of porosity, plasma chemistry, and temperature. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2005, 23, 395–405. [Google Scholar] [CrossRef]
- Han, Q.Y.; White, B.; Berry, I.L.; Waldfried, C.; Escorcia, O. Activated He:H2 Strip of Photoresist over Porous Low-k Materials. Solid. State Phenom. 2005, 103–104, 341–344. [Google Scholar] [CrossRef]
- Lazzeri, P.; Stueber, G.J.; Oehrlein, G.S.; McGowan, R.; Busch, E.; Pederzoli, S.; Bersani, M.; Anderle, M. Time of flight secondary ion mass spectroscopy investigation of ultralow-k dielectric modifications in hydrogen and deuterium plasmas. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2006, 24, 2695–2701. [Google Scholar] [CrossRef]
- Yamamoto, H.; Takeda, K.; Ishikawa, K.; Ito, M.; Sekine, M.; Hori, M.; Kaminatsui, T.; Hayashi, H.; Sakai, I.; Ohiwa, T. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics. J. Appl. Phys. 2011, 109, 084112. [Google Scholar] [CrossRef]
- Yamamoto, H.; Asano, K.; Ishikawa, K.; Sekine, M.; Hayashi, H.; Sakai, I.; Ohiwa, T.; Takeda, K.; Kondo, H.; Hori, M. Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy. J. Appl. Phys. 2011, 110, 123301. [Google Scholar] [CrossRef]
- Bittel, B.C.; Lenahan, P.M.; King, S.W. Ultraviolet radiation effects on paramagnetic defects in low-κ dielectrics for ultralarge scale integrated circuit interconnects. Appl. Phys. Lett. 2010, 97, 063506. [Google Scholar] [CrossRef]
- Matsunaga, N.; Okumura, H.; Jinnai, B.; Samukawa, S. Hard-Mask-Through UV-Light-Induced Damage to Low-k Film during Plasma Process for Dual Damascene. Jpn. J. Appl. Phys. 2010, 49, 04DB06. [Google Scholar] [CrossRef]
- Ryan, E.T.; Molis, S.E. A plasmaless, photochemical etch process for porous organosilicate glass films. J. Appl. Phys. 2017, 122, 244104. [Google Scholar] [CrossRef]
- Choi, C.K.; Kim, C.Y.; Navamathavan, R.; Lee, H.S.; Woo, J.-k.; Hyun, M.T.; Lee, H.J.; Jeung, W.Y. UV irradiation effects on the bonding structure and electrical properties of ultra low-k SiOC(–H) thin films for 45 nm technology node. Curr. Appl. Phys. 2011, 11, S109–S113. [Google Scholar] [CrossRef]
- DiStefano, T.H.; Eastman, D.E. The band edge of amorphous SiO2 by photoinjection and photoconductivity measurements. Solid. State Commun. 1971, 9, 2259–2261. [Google Scholar] [CrossRef]
- Adamchuk, V.K.; Afanasev, V.V. Photocharging Technique for Barrier Determination on Semiconductor-Insulator Interfaces. Phys. Status Solidi (a) 1992, 132, 371–379. [Google Scholar] [CrossRef]
- Powell, R.J.; Derbenwick, G.F. Vacuum Ultraviolet Radiation Effects in SiO2. IEEE Trans. Nucl. Sci. 1971, 18, 99–105. [Google Scholar] [CrossRef]
- DiMaria, D.J.; Weinberg, Z.A.; Aitken, J.M. Location of positive charges in SiO2 films on Si generated by vuv photons, X-rays, and high-field stressing. J. Appl. Phys. 1977, 48, 898–906. [Google Scholar] [CrossRef]
- Stivers, A.R.; Sah, C.T. A study of oxide traps and interface states of the silicon-silicon dioxide interface. J. Appl. Phys. 1980, 51, 6292–6304. [Google Scholar] [CrossRef]
- Adamchuk, V.K.; Afanasev, V.V.; Akulov, A.V. Electron Trap Activation in Thermal SiO2. Phys. Status Solidi (a) 1990, 122, 347–354. [Google Scholar] [CrossRef]
- Afanas’ev, V.V.; Adamchuk, V.K. Injection spectroscopy of localized states in thin insulating layers on semiconductor surfaces. Prog. Surf. Sci. 1994, 47, 301–394. [Google Scholar] [CrossRef]
- Lee, J.; Graves, D.B. Synergistic damage effects of vacuum ultraviolet photons and O2 in SiCOH ultra-low-k dielectric films. J. Phys. D Appl. Phys. 2010, 43, 425201. [Google Scholar] [CrossRef]
- Lee, J.; Graves, D.B. The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films. J. Phys. D Appl. Phys. 2011, 44, 325203. [Google Scholar] [CrossRef]
- Rakhimova, T.V.; Rakhimov, A.T.; Mankelevich, Y.A.; Lopaev, D.V.; Kovalev, A.S.; Vasil’eva, A.N.; Proshina, O.V.; Braginsky, O.V.; Zyryanov, S.M.; Kurchikov, K.; et al. Modification of organosilicate glasses low-k films under extreme and vacuum ultraviolet radiation. Appl. Phys. Lett. 2013, 102, 111902. [Google Scholar] [CrossRef]
- Rakhimova, T.V.; Rakhimov, A.T.; Mankelevich, Y.A.; Lopaev, D.V.; Kovalev, A.S.; Vasil’eva, A.N.; Zyryanov, S.M.; Kurchikov, K.; Proshina, O.V.; Voloshin, D.G.; et al. Low-k films modification under EUV and VUV radiation. J. Phys. D Appl. Phys. 2014, 47, 025102. [Google Scholar] [CrossRef]
- Jinnai, B.; Fukuda, S.; Ohtake, H.; Samukawa, S. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique. J. Appl. Phys. 2010, 107, 043302. [Google Scholar] [CrossRef]
- Ren, H.; Jiang, G.; Antonelli, G.A.; Nishi, Y.; Shohet, J.L. The nature of the defects generated from plasma exposure in pristine and ultraviolet-cured low-k organosilicate glass. Appl. Phys. Lett. 2011, 98, 252902. [Google Scholar] [CrossRef]
- Sinha, H.; Straight, D.B.; Lauer, J.L.; Fuller, N.C.; Engelmann, S.U.; Zhang, Y.; Antonelli, G.A.; Severson, M.; Nishi, Y.; Shohet, J.L. Reflectance and substrate currents of dielectric layers under vacuum ultraviolet irradiation. J. Vac. Sci. Technol. A Vac. Surf. Film. 2010, 28, 1316–1318. [Google Scholar] [CrossRef]
- Sinha, H.; Ren, H.; Nichols, M.T.; Lauer, J.L.; Tomoyasu, M.; Russell, N.M.; Jiang, G.; Antonelli, G.A.; Fuller, N.C.; Engelmann, S.U.; et al. The effects of vacuum ultraviolet radiation on low-k dielectric films. J. Appl. Phys. 2012, 112, 111101. [Google Scholar] [CrossRef]
- Afanas’ev, V.V.; Nguyen, A.P.D.; Houssa, M.; Stesmans, A.; Tőkei, Z.; Baklanov, M.R. High-resolution electron spin resonance analysis of ion bombardment induced defects in advanced low-κ insulators (κ = 2.0–2.5). Appl. Phys. Lett. 2013, 102, 172908. [Google Scholar] [CrossRef]
- Stesmans, A.; Scheerlinck, F. Natural intrinsic EX center in thermal SiO2 on Si: 17O hyperfine interaction. Phys. Rev. B Condens. Matter 1994, 50, 5204–5212. [Google Scholar] [CrossRef]
- Stesmans, A.; Scheerlinck, F. Electron-spin-resonance analysis of the natural intrinsic EX center in thermal SiO2 on Si. Phys. Rev. B Condens. Matter 1995, 51, 4987–4997. [Google Scholar] [CrossRef] [PubMed]
- Turek, P.; Bernard, M.; Lardon, N.; Maisonobe, J.C.; Passemard, G. Electron spin resonance (ESR) characterization of defects in low-k dielectrics-temperature effect. In Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407), Burlingame, CA, USA, 7 June 2000; pp. 173–175. [Google Scholar] [CrossRef]
- Afanas’ev, V.V.; Keunen, K.; Stesmans, A.; Jivanescu, M.; Tőkei, Z.; Baklanov, M.R.; Beyer, G.P. Electron spin resonance study of defects in low-κ oxide insulators (κ = 2.5–2.0). Microelectron. Eng. 2011, 88, 1503–1506. [Google Scholar] [CrossRef]
- Pomorski, T.A.; Bittel, B.C.; Cochrane, C.J.; Lenahan, P.M.; Bielefeld, J.; King, S.W. Defects and electronic transport in hydrogenated amorphous SiC films of interest for low dielectric constant back end of the line dielectric systems. J. Appl. Phys. 2013, 114, 074501. [Google Scholar] [CrossRef]
- Pomorski, T.A.; Bittel, B.C.; Lenahan, P.M.; Mays, E.; Ege, C.; Bielefeld, J.; Michalak, D.; King, S.W. Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics. J. Appl. Phys. 2014, 115, 234508. [Google Scholar] [CrossRef]
- Mutch, M.J.; Lenahan, P.M.; King, S.W. Defect chemistry and electronic transport in low-κ dielectrics studied with electrically detected magnetic resonance. J. Appl. Phys. 2016, 119, 094102. [Google Scholar] [CrossRef]
- Mutch, M.J.; Pomorski, T.; Bittel, B.C.; Cochrane, C.J.; Lenahan, P.M.; Liu, X.; Nemanich, R.J.; Brockman, J.; French, M.; Kuhn, M.; et al. Band diagram for low-k/Cu interconnects: The starting point for understanding back-end-of-line (BEOL) electrical reliability. Microelectron. Reliab. 2016, 63, 201–213. [Google Scholar] [CrossRef]
- King, S.W.; French, B.; Mays, E. Detection of defect states in low-k dielectrics using reflection electron energy loss spectroscopy. J. Appl. Phys. 2013, 113, 044109. [Google Scholar] [CrossRef]
- Pustovarov, V.A.; Zatsepin, A.F.; Biryukov, D.Y.; Aliev, V.S.; Iskhakzay, R.M.K.; Gritsenko, V.A. Synchrotron-Excited Luminescence and Converting of Defects and Quantum Dots in Modified Silica Films. J. Non-Cryst. Solids 2023, 602, 122077. [Google Scholar] [CrossRef]
- Skuja, L. Optically active oxygen-deficiency-related centers in amorphous silicon dioxide. J. Non-Cryst. Solids 1998, 239, 16–48. [Google Scholar] [CrossRef]
- Salh, R. Defect Related Luminescence in Silicon Dioxide Network: A Review. In Crystalline Silicon—Properties and Uses; Basu, S., Ed.; IntechOpen: Rijeka, Croatia, 2011. [Google Scholar] [CrossRef]
- Gismatulin, A.A.; Gritsenko, V.A.; Seregin, D.S.; Vorotilov, K.A.; Baklanov, M.R. Charge transport mechanism in periodic mesoporous organosilica low-k dielectric. Appl. Phys. Lett. 2019, 115, 082904. [Google Scholar] [CrossRef]
- Rasadujjaman, M.; Zhang, J.; Spassky, D.A.; Naumov, S.; Vishnevskiy, A.S.; Vorotilov, K.A.; Yan, J.; Baklanov, M.R. UV-Excited Luminescence in Porous Organosilica Films with Various Organic Components. Nanomaterials 2023, 13, 1419. [Google Scholar] [CrossRef]
- El-Sayed, A.M.; Watkins, M.B.; Grasser, T.; Afanas’ev, V.V.; Shluger, A.L. Hydrogen-induced rupture of strained Si horizontal line O bonds in amorphous silicon dioxide. Phys. Rev. Lett. 2015, 114, 115503. [Google Scholar] [CrossRef] [PubMed]
- Stesman, A.; Devine, R.; Revesz, A.G.; Hughes, H. Irradiation-induced ESR active defects in SIMOX structures. IEEE Trans. Nucl. Sci. 1990, 37, 2008–2012. [Google Scholar] [CrossRef]
- Devine, R.A.B.; Warren, W.L.; Xu, J.B.; Wilson, I.H.; Paillet, P.; Leray, J.L. Oxygen gettering and oxide degradation during annealing of Si/SiO2/Si structures. J. Appl. Phys. 1995, 77, 175–186. [Google Scholar] [CrossRef]
- Hervé, D.; Leray, J.L.; Devine, R.A.B. Comparative study of radiation-induced electrical and spin active defects in buried SiO2 layers. J. Appl. Phys. 1992, 72, 3634–3640. [Google Scholar] [CrossRef]
- Warren, W.L.; Shaneyfelt, M.R.; Schwank, J.R.; Fleetwood, D.M.; Winokur, P.S.; Devine, R.A.B.; Maszara, W.P.; McKitterick, J.B. Paramagnetic defect centers in BESOI and SIMOX buried oxides. IEEE Trans. Nucl. Sci. 1993, 40, 1755–1764. [Google Scholar] [CrossRef]
- Afanas’ev, V.V.; Stesmans, A.; Revesz, A.G.; Hughes, H.L. Structural inhomogeneity and silicon enrichment of buried SiO2 layers formed by oxygen ion implantation in silicon. J. Appl. Phys. 1997, 82, 2184–2199. [Google Scholar] [CrossRef]
- Shamuilia, S.; Afanas’ev, V.V.; Somers, P.; Stesmans, A.; Li, Y.L.; Tőkei, Z.; Groeseneken, G.; Maex, K. Internal photoemission of electrons at interfaces of metals with low-k insulators. Appl. Phys. Lett. 2006, 89, 202909. [Google Scholar] [CrossRef]
- Nichols, M.T.; Sinha, H.; Wiltbank, C.A.; Antonelli, G.A.; Nishi, Y.; Shohet, J.L. Time-dependent dielectric breakdown of plasma-exposed porous organosilicate glass. Appl. Phys. Lett. 2012, 100, 112905. [Google Scholar] [CrossRef]
- Nichols, M.T.; Mavrakakis, K.; Lin, Q.; Shohet, J.L. The effects of plasma exposure and vacuum ultraviolet irradiation on photopatternable low-k dielectric materials. J. Appl. Phys. 2013, 114, 104107. [Google Scholar] [CrossRef]
- Ren, H.; Nichols, M.T.; Jiang, G.; Antonelli, G.A.; Nishi, Y.; Shohet, J.L. Defects in low-k organosilicate glass and their response to processing as measured with electron-spin resonance. Appl. Phys. Lett. 2011, 98, 102903. [Google Scholar] [CrossRef]
- Cheynet, M.; Volpi, F.; Pokrant, S.; Pantel, R.; Aimadedinne, M.; Arnal, V. HAADF and EELS Study of ULK Dielectrics. G. I. T Imaging Microsc. 2009, 1, 44. [Google Scholar] [CrossRef]
- Singh, P.K.; Knaup, J.M.; Zimmermann, S.; Schulze, S.; Schulz, S.E.; Frauenheim, T.; Hietschold, M. The dielectric response of low-k interlayer dielectric material characterized by electron energy loss spectroscopy. Microporous Mesoporous Mater. 2014, 187, 23–28. [Google Scholar] [CrossRef]
- Zheng, H.; King, S.W.; Ryan, V.; Nishi, Y.; Shohet, J.L. Bandgap measurements of low-k porous organosilicate dielectrics using vacuum ultraviolet irradiation. Appl. Phys. Lett. 2014, 104, 062904. [Google Scholar] [CrossRef]
- Nichols, M.T.; Li, W.; Pei, D.; Antonelli, G.A.; Lin, Q.; Banna, S.; Nishi, Y.; Shohet, J.L. Measurement of bandgap energies in low-k organosilicates. J. Appl. Phys. 2014, 115, 094105. [Google Scholar] [CrossRef]
- Saito, K.; Ikushima, A.J. Absorption edge in silica glass. Phys. Rev. B 2000, 62, 8584–8587. [Google Scholar] [CrossRef]
- Trukhin, A.N. Luminescence of localized states in silicon dioxide glass. A short review. J. Non-Cryst. Solids 2011, 357, 1931–1940. [Google Scholar] [CrossRef]
- Atkin, J.M.; Song, D.; Shaw, T.M.; Cartier, E.; Laibowitz, R.B.; Heinz, T.F. Photocurrent spectroscopy of low-k dielectric materials: Barrier heights and trap densities. J. Appl. Phys. 2008, 103, 094104. [Google Scholar] [CrossRef]
- King, S.W.; French, M.; Jaehnig, M.; Kuhn, M.; French, B. X-ray photoelectron spectroscopy investigation of the Schottky barrier at low-k a-SiO(C):H/Cu interfaces. Appl. Phys. Lett. 2011, 99, 202903. [Google Scholar] [CrossRef]
- Dag, Ö.; Yoshina-Ishii, C.; Asefa, T.; MacLachlan, M.J.; Grondey, H.; Coombs, N.; Ozin, G.A. Oriented Periodic Mesoporous Organosilica (PMO) Film with Organic Functionality Inside the Channel Walls. Adv. Funct. Mater. 2001, 11, 213–217. [Google Scholar] [CrossRef]
- Dubois, G.; Volksen, W.; Magbitang, T.; Sherwood, M.H.; Miller, R.D.; Gage, D.M.; Dauskardt, R.H. Superior mechanical properties of dense and porous organic/inorganic hybrid thin films. J. Sol-Gel Sci. Technol. 2008, 48, 187–193. [Google Scholar] [CrossRef]
- Hoffmann, F.; Cornelius, M.; Morell, J.; Froba, M. Silica-based mesoporous organic-inorganic hybrid materials. Angew. Chem. Int. Ed. Engl. 2006, 45, 3216–3251. [Google Scholar] [CrossRef]
- Dubois, G.; Volksen, W.; Magbitang, T.; Miller, R.D.; Gage, D.M.; Dauskardt, R.H. Molecular Network Reinforcement of Sol–Gel Glasses. Adv. Mater. 2007, 19, 3989–3994. [Google Scholar] [CrossRef]
- Burg, J.A.; Oliver, M.S.; Frot, T.J.; Sherwood, M.; Lee, V.; Dubois, G.; Dauskardt, R.H. Hyperconnected molecular glass network architectures with exceptional elastic properties. Nat. Commun. 2017, 8, 1019. [Google Scholar] [CrossRef] [PubMed]
- Lu, Y.; Fan, H.; Doke, N.; Loy, D.A.; Assink, R.A.; LaVan, D.A.; Brinker, C.J. Evaporation-Induced Self-Assembly of Hybrid Bridged Silsesquioxane Film and Particulate Mesophases with Integral Organic Functionality. J. Am. Chem. Soc. 2000, 122, 5258–5261. [Google Scholar] [CrossRef]
- Perdew, J.P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1996, 77, 3865–3868. [Google Scholar] [CrossRef]
- Adamo, C.; Barone, V. Toward reliable density functional methods without adjustable parameters: The PBE0 model. J. Chem. Phys. 1999, 110, 6158–6170. [Google Scholar] [CrossRef]
- Grimme, S.; Antony, J.; Ehrlich, S.; Krieg, H. A consistent and accurate ab initio parametrization of density functional dispersion correction (DFT-D) for the 94 elements H-Pu. J. Chem. Phys. 2010, 132, 154104. [Google Scholar] [CrossRef]
- Jaguar, version 9.6; Schrodinger, Inc.: New York, NY, USA, 2017.
- Marsik, P.; Verdonck, P.; De Roest, D.; Baklanov, M.R. Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation. Thin Solid. Film. 2010, 518, 4266–4272. [Google Scholar] [CrossRef]
- Griscom, D.L. Optical Properties and Structure of Defects in Silica Glass. J. Ceram. Soc. Jpn. 1991, 99, 923–942. [Google Scholar] [CrossRef]
- Attallah, A.G.; Koehler, N.; Liedke, M.O.; Butterling, M.; Hirschmann, E.; Ecke, R.; Schulz, S.E.; Wagner, A. Thermal kinetics of free volume in porous spin-on dielectrics: Exploring the network- and pore-properties. Microporous Mesoporous Mater. 2020, 308, 110457. [Google Scholar] [CrossRef]
- Urbanowicz, A.M.; Shamiryan, D.; Zaka, A.; Verdonck, P.; De Gendt, S.; Baklanov, M.R. Effects of He Plasma Pretreatment on Low-k Damage during Cu Surface Cleaning with NH3 Plasma. J. Electrochem. Soc. 2010, 157, H565. [Google Scholar] [CrossRef]
- Guo, X.; Zheng, H.; King, S.W.; Afanas’ev, V.V.; Baklanov, M.R.; de Marneffe, J.F.; Nishi, Y.; Shohet, J.L. Defect-induced bandgap narrowing in low-k dielectrics. Appl. Phys. Lett. 2015, 107, 082903. [Google Scholar] [CrossRef]
- Van Besien, E.; Pantouvaki, M.; Zhao, L.; De Roest, D.; Baklanov, M.R.; Tőkei, Z.; Beyer, G. Influence of porosity on electrical properties of low-k dielectrics. Microelectron. Eng. 2012, 92, 59–61. [Google Scholar] [CrossRef]
- Grill, A.; Patel, V. Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from tetramethylsilane. J. Appl. Phys. 1999, 85, 3314–3318. [Google Scholar] [CrossRef]
- Grill, A. Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. J. Appl. Phys. 2003, 93, 1785–1790. [Google Scholar] [CrossRef]
- Zhao, L.; Tokei, Z.; Gischia, G.G.; Volders, H.; Beyer, G. A new perspective of barrier material evaluation and process optimization. In Proceedings of the 2009 IEEE International Interconnect Technology Conference, Sapporo, Japan, 1–3 June 2009; pp. 206–208. [Google Scholar] [CrossRef]
- O’Reilly, E.P.; Robertson, J. Theory of defects in vitreous silicon dioxide. Phys. Rev. B 1983, 27, 3780–3795. [Google Scholar] [CrossRef]
- Afanas’ev, V.V.; Bassler, M.; Pensl, G.; Schulz, M.J.; Stein von Kamienski, E. Band offsets and electronic structure of SiC/SiO2 interfaces. J. Appl. Phys. 1996, 79, 3108–3114. [Google Scholar] [CrossRef]
- Afanas’ev, V.V.; Stesmans, A.; Andersson, M.O. Electron states and microstructure of thin a-C:H layers. Phys. Rev. B Condens. Matter 1996, 54, 10820–10826. [Google Scholar] [CrossRef]
- Lin, K.L.; Bielefeld, J.; Chawla, J.S.; Carver, C.T.; Chebiam, R.; Clarke, J.S.; Faber, J.; Harmes, M.; Indukuri, T.; Jezewski, C.; et al. Demonstration of new planar capacitor (PCAP) vehicles to evaluate dielectrics and metal barrier thin films. In Proceedings of the 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), Grenoble, France, 18–21 May 2015; pp. 139–142. [Google Scholar] [CrossRef]
- Baklanov, M.R.; Zhao, L.; Besien, E.V.; Pantouvaki, M. Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectron. Eng. 2011, 88, 990–993. [Google Scholar] [CrossRef]
- Wu, C.; Li, Y.; Barbarin, Y.; Ciofi, I.; Croes, K.; Bömmels, J.; De Wolf, I.; Tőkei, Z. Correlation between field dependent electrical conduction and dielectric breakdown in a SiCOH based low-k (k = 2.0) dielectric. Appl. Phys. Lett. 2013, 103, 032904. [Google Scholar] [CrossRef]
- Krishtab, M.; Afanas’ev, V.; Stesmans, A.; De Gendt, S. Leakage current induced by surfactant residues in self-assembly based ultralow-k dielectric materials. Appl. Phys. Lett. 2017, 111, 032908. [Google Scholar] [CrossRef]
- Vanstreels, K.; Ciofi, I.; Barbarin, Y.; Baklanov, M. Influence of porosity on dielectric breakdown of ultralow-k dielectrics. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2013, 31, 050604. [Google Scholar] [CrossRef]
- Urbanowicz, A.M.; Vanstreels, K.; Verdonck, P.; Shamiryan, D.; De Gendt, S.; Baklanov, M.R. Improving mechanical robustness of ultralow-k SiOCH plasma enhanced chemical vapor deposition glasses by controlled porogen decomposition prior to UV-hardening. J. Appl. Phys. 2010, 107, 104122. [Google Scholar] [CrossRef]
- Wu, C.; Li, Y.; Baklanov, M.R.; Croes, K. Electrical Reliability Challenges of Advanced Low-k Dielectrics. ECS J. Solid. State Sci. Technol. 2014, 4, N3065–N3070. [Google Scholar] [CrossRef]
- Snyder, L.R.; Ward, J.W. The Surface Structure of Porous Silicas. J. Phys. Chem. 1966, 70, 3941–3952. [Google Scholar] [CrossRef]
- Iler, R.K. The chemistry of Silica; Wiley & Sons: New York, NY, USA, 1979. [Google Scholar]
- Kayaba, Y.; Kikkawa, T. Theoretical Investigation of Maximum Field Strength in Porous Silica Dielectric. Jpn. J. Appl. Phys. 2008, 47, 5314. [Google Scholar] [CrossRef]
- Changsoo, H.; Milor, L. Effect of Porosity on Charge Transport in Porous Ultra-Low-k Dielectrics. In Proceedings of the 2006 International Interconnect Technology Conference, Burlingame, CA, USA, 5–7 June 2006; pp. 140–142. [Google Scholar] [CrossRef]
- Shou-Chung, L.; Oates, A.S.; Kow-Ming, C. Fundamental understanding of porous low-k dielectric breakdown. In Proceedings of the 2009 IEEE International Reliability Physics Symposium, Montreal, QC, Canada, 26–30 April 2009; pp. 481–485. [Google Scholar] [CrossRef]
- Ogawa, E.T.; Jinyoung, K.; Haase, G.S.; Mogul, H.C.; McPherson, J.W. Leakage, breakdown, and TDDB characteristics of porous low-k silica-based interconnect dielectrics. In Proceedings of the 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual, Dallas, TX, USA, 30 March–4 April 2003; pp. 166–172. [Google Scholar] [CrossRef]
- Wu, E.Y.; Vollertsen, R.P. On the weibull shape factor of intrinsic breakdown of dielectric films and its accurate experimental determination-part I: Theory, methodology, experimental techniques. IEEE Trans. Electron. Devices 2002, 49, 2131–2140. [Google Scholar] [CrossRef]
- Gismatulin, A.A.; Kamaev, G.N.; Volodin, V.A.; Gritsenko, V.A. Charge Transport Mechanism in the Forming-Free Memristor Based on PECVD Silicon Oxynitride. Electronics 2023, 12, 598. [Google Scholar] [CrossRef]
- Sze, S.M.; Ng, K.K. Physics of Semiconductor Devices, 3rd ed.; Wiley: New York, NY, USA, 2006. [Google Scholar] [CrossRef]
- Ohring, M. Reliability and Failure of Electronic Materials and Devices; Academic Press: San Diego, CA, USA, 1998. [Google Scholar]
- Schottky, W. Über den Einfluss von Strukturwirkungen, besonders der Thomsonschen Bildkraft, auf die Elektronenemission der Metalle. Phys. Z. 1914, 15, 872–878. [Google Scholar]
- Fowler, R.H.; Nordheim, L. Electron emission in intense electric fields. Proc. R. Soc. Lond. Ser. A Contain. Pap. A Math. Phys. Character 1928, 119, 173–181. [Google Scholar] [CrossRef]
- Frenkel, J. On Pre-Breakdown Phenomena in Insulators and Electronic Semi-Conductors. Phys. Rev. 1938, 54, 647–648. [Google Scholar] [CrossRef]
- Hill, R.M. Poole-Frenkel conduction in amorphous solids. Philos. Mag. A J. Theor. Exp. Appl. Phys. 1971, 23, 59–86. [Google Scholar] [CrossRef]
- Adachi, H.; Shibata, Y.; Ono, S. On electronic conduction through evaporated silicon oxide films. J. Phys. D Appl. Phys. 1971, 4, 988. [Google Scholar] [CrossRef]
- Makram-Ebeid, S.; Lannoo, M. Quantum model for phonon-assisted tunnel ionization of deep levels in a semiconductor. Phys. Rev. B 1982, 25, 6406–6424. [Google Scholar] [CrossRef]
- Nasyrov, K.A.; Gritsenko, V.A. Charge transport in dielectrics via tunneling between traps. J. Appl. Phys. 2011, 109, 093705. [Google Scholar] [CrossRef]
- Lloyd, J.R.; Liniger, E.; Shaw, T.M. Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 2005, 98, 084109. [Google Scholar] [CrossRef]
- Wu, C.; Li, Y.; Barbarin, Y.; Ciofi, I.; Tang, B.; Kauerauf, T.; Croes, K.; Bommels, J.; De Wolf, I.; Tokei, Z. Towards the understanding of intrinsic degradation and breakdown mechanisms of a SiOCH low-k dielectric. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; pp. 3A.2.1–3A.2.6. [Google Scholar] [CrossRef]
- Gischia, G.G.; Croes, K.; Groeseneken, G.; Tokei, Z.; Afanas’ev, V.; Zhao, L. Study of leakage mechanism and trap density in porous low-k materials. In Proceedings of the 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, USA, 2–6 May 2010; pp. 549–555. [Google Scholar] [CrossRef]
- Perevalov, T.V.; Gismatulin, A.A.; Dolbak, A.E.; Gritsenko, V.A.; Trofimova, E.S.; Pustovarov, V.A.; Seregin, D.S.; Vorotilov, K.A.; Baklanov, M.R. Charge Transport Mechanism and Trap Origin in Methyl-Terminated Organosilicate Glass Low-κ Dielectrics. Phys. Status Solidi (a) 2020, 218, 2000654. [Google Scholar] [CrossRef]
- Perevalov, T.V.; Gismatulin, A.A.; Gritsenko, V.A.; Xu, H.; Zhang, J.; Vorotilov, K.A.; Baklanov, M.R. Charge Transport Mechanism in a PECVD Deposited Low-k SiOCH Dielectric. J. Electron. Mater. 2022, 51, 2521–2527. [Google Scholar] [CrossRef]
- Islamov, D.R.; Gritsenko, V.A.; Perevalov, T.V.; Orlov, O.M.; Krasnikov, G.Y. The charge transport mechanism and electron trap nature in thermal oxide on silicon. Appl. Phys. Lett. 2016, 109, 052901. [Google Scholar] [CrossRef]
- Lloyd, J.R.; Liniger, E.; Chen, S.T. Time dependent dielectric breakdown in a low-k interlevel dielectric. Microelectron. Reliab. 2004, 44, 1861–1865. [Google Scholar] [CrossRef]
- Wu, C.; Li, Y.; Leśniewska, A.; Varela Pedreira, O.; De Marneffe, J.F.; Ciofi, I.; Verdonck, P.; Baklanov, M.R.; Bömmels, J.; De Wolf, I.; et al. Correlation between stress-induced leakage current and dielectric degradation in ultra-porous SiOCH low-k materials. J. Appl. Phys. 2015, 118, 164101. [Google Scholar] [CrossRef]
- Gritsenko, V.; Wong, H. Atomic and Electronic Structures of Traps in Silicon Oxide and Silicon Oxynitride. Crit. Rev. Solid State Mater. Sci. 2011, 36, 129–147. [Google Scholar] [CrossRef]
CVD/PECVD/HFCVD Matrix Precursors | ||
---|---|---|
Diethoxy-methyl-silane (DEMS) | Tetramethyl-cyclotetrasiloxane (TMCTS) | Deca-methyl-cyclo-pentasiloxane (DMCPS) |
Diethoxy-methyl-oxiranyl-silane | Dimethyl-dioxiranyl-silane | Trimethyl-trivinyl-cyclotrisiloxane (V3D3) |
Porogens | ||
Norbornadiene (NBD) | Norbornene (NBE) | a-terpinene (ATRP) |
Cyclopentene oxide (CPO) | Cyclohexene oxide (CHO) | Butadiene monoxide (BMO) |
CSD Matrix Precursors | ||
---|---|---|
Tetraethoxysilane (TEOS) | Methyltrimethoxysilane (MTMS) | 1,2-bis(triethoxysilyl)methane (BTESM) |
1,2-bis(trimethoxysilyl)ethane (BTMSE) | 1,4-bis(triethoxysilyl)benzene (BTESB) | 1,3,5-tris(triethoxysilyl)benzene |
Surfactants | ||
Nonionic: | Polyoxyethylene alkyl ethers (Brij®) | Poly(ethylene glycol)- poly(propylene glycol)- poly(ethylene glycol) (Pluronic®) |
Brij® L4: m = 11, n = 4; Brij® C2: m = 15, n = 2; Brij® C10: m = 15, n = 10; Brij® S10: m = 17, n = 10 | Pluronic® P123: m = 20, n = 70; Pluronic® F127: m = 100, n = 65 | |
Ionic: | Alkyltrimethylammonium bromide (CnTMABr) | Alkyltrimethylammonium chloride (CnTMACl) |
cetyltrimethylammonium bromide (CTAB): n = 15; octadecyltrimethylammonium bromide (OTAB): n = 17 | cetyltrimethylammonium chloride (CTAC): n = 15; octadecyltrimethylammonium chloride (OTAC): n = 17 |
Defects | E′ | NBOHC | ODC(I) | ODC(II) | sp3 Carbon | sp2 Carbon |
---|---|---|---|---|---|---|
Griscom [132] | 5.85 | 4.8 | 7.6 | 5.0 | - | - |
Skuja [99] | 5.61 | 4.7 | 7.5 | 5.3 | - | - |
Marsik [131] | - | - | - | - | 6.2 | 4.5 |
King [97] | - | - | 7.2 | 5.0 | 2–6 |
Sample Number | Porosity (%) | k Value | Bandgap before Ion Sputtering (eV) | Bandgap after Ion Sputtering (eV) |
---|---|---|---|---|
1 | 0 | 3.3 | 8.1 | 6.8 |
2 | 25 | 2.5 | 8.0 | 6.2 |
3 | 34 | 2.2 | 8.3 | 6.1 |
Sample Number | Label | Porosity (%) | K Value | Curing WL (nm) |
---|---|---|---|---|
1 | CVD1 | 24 | 2.5 | >200 |
2 | CVD2 | 24 | 2.5 | 172 |
3 | CVD2 * | 24 | 2.5 | >200 |
4 | CVD3 | 33 | 2.3 | 172 |
5 | CVD3 * | 33 | 2.3 | >200 |
6 | CVD4 | ±5 | 3.0 | No |
7 | CVD5 | n/a | 3.2 | No |
8 | SOG_org | 30 | 2.2 | No |
9 | SOG_inorg | 35 | 2.3 | No |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Baklanov, M.R.; Gismatulin, A.A.; Naumov, S.; Perevalov, T.V.; Gritsenko, V.A.; Vishnevskiy, A.S.; Rakhimova, T.V.; Vorotilov, K.A. Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films. Polymers 2024, 16, 2230. https://doi.org/10.3390/polym16152230
Baklanov MR, Gismatulin AA, Naumov S, Perevalov TV, Gritsenko VA, Vishnevskiy AS, Rakhimova TV, Vorotilov KA. Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films. Polymers. 2024; 16(15):2230. https://doi.org/10.3390/polym16152230
Chicago/Turabian StyleBaklanov, Mikhail R., Andrei A. Gismatulin, Sergej Naumov, Timofey V. Perevalov, Vladimir A. Gritsenko, Alexey S. Vishnevskiy, Tatyana V. Rakhimova, and Konstantin A. Vorotilov. 2024. "Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films" Polymers 16, no. 15: 2230. https://doi.org/10.3390/polym16152230
APA StyleBaklanov, M. R., Gismatulin, A. A., Naumov, S., Perevalov, T. V., Gritsenko, V. A., Vishnevskiy, A. S., Rakhimova, T. V., & Vorotilov, K. A. (2024). Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films. Polymers, 16(15), 2230. https://doi.org/10.3390/polym16152230