Next Article in Journal
Analysis of the Influence of Surrounding Rock State on Working Performance of Cutting Head in Metal Mining
Previous Article in Journal
Machine Learning Algorithms for the Prediction of the Seismic Response of Rigid Rocking Blocks
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

An Energy-Efficient ECG Processor Based on HDWT and a Hybrid Classifier for Arrhythmia Detection

1
The Key Laboratory of Integrated Microsystems, Peking University Shenzhen Graduate School, Shenzhen 518000, China
2
School of Integrated Circuits, Peking University, Beijing 100871, China
3
Shanghai BU (Xishuo Microelectronic) of RUNIC Technology Co., Ltd., Shanghai 200120, China
*
Authors to whom correspondence should be addressed.
Appl. Sci. 2024, 14(1), 342; https://doi.org/10.3390/app14010342
Submission received: 7 December 2023 / Revised: 26 December 2023 / Accepted: 27 December 2023 / Published: 29 December 2023

Abstract

:
Cardiac arrhythmia (CA) is a severe cardiac disorder that results in a significant number of fatalities worldwide each year. Conventional electrocardiography (ECG) devices are often unable to detect arrhythmia symptoms during patients’ hospital visits due to their intermittent nature. This paper presents a wearable ECG processor for cardiac arrhythmia (CA) detection. The processor utilizes a Hilbert transform-based R-peak detection engine for R-peak detection, a Haar discrete wavelet transform (HDWT) unit for feature extraction, and a Hybrid ECG classifier that combines linear methods and Non-Linear Support Vector Machines (NLSVM) classifiers to distinguish between normal and abnormal heartbeats. The processor is fabricated by the CMOS 110 nm process with an area of 1.34 mm2 and validated with the MIT_BIH Database. The whole design consumes 4.08 μW with an average classification accuracy of 97.34%.

1. Introduction

Cardiovascular diseases (CVDs), including myocardial infarction, cardiac arrhythmia, cardiomyopathy, and myocarditis, constitute the primary cause of global mortality and disability, as reported by the World Health Organization (WHO) [1].
Cardiac arrhythmia ranks prominently among critical CVDs, significantly elevating the risk of stroke and sudden cardiac death. These irregular cardiac rhythms (CRs) manifest in the electrical activity of the heart and are detectable through an electrocardiogram (ECG) [2].
However, due to the infrequent nature of arrhythmia events, especially in the early stages of the symptom, it is difficult to detect them in short-term monitoring. Consequently, the adoption of wearable, continuous ECG monitoring devices becomes imperative for effective arrhythmia detection [3].
Advancements in semiconductor device technology, coupled with the integration of artificial intelligence (AI), facilitate the incorporation of cardiac arrhythmia detection capabilities into wearable devices. In recent years, the development of systems that enable always-on continuous electrocardiogram (ECG) analysis and cardiac arrhythmia detection has gained significant attention. The literature documents various methods for automated arrhythmia detection [4,5], encompassing signal processing techniques like frequency analysis [6,7], wavelet transform [8,9], statistical and heuristic approaches [10,11], and machine learning models such as support vector machines [12,13,14] and artificial neural networks [15,16,17,18,19,20].
Bayasi et al. [21] presented an ASIC (application-specific integrated circuit) design for the prediction of ventricular arrhythmia. A unique set of ECG features were extracted using a windowed threshold-based approach and then used to train the naïve Bayes classifier. The prediction accuracy was 86%, and the simulated power consumption was 2.78 μW. Moreover, the proposed ASIC achieved an outstanding capability of predicting the arrhythmia up to 3 h before the onset.
Dekimpe et al. [22] presented a complete beat-to-beat arrhythmia classification SOC (system-on-chip) implemented on a custom ultra-low-power microcontroller. It includes a single-channel AFE (analog front-end) circuit for ECG signal acquisition and a digital back-end processor to execute the support vector machine classification software with a Cortex-M4 CPU. The classification software reaches a sensitivity of 82.6% and 88.9% for supraventricular and ventricular arrhythmias, respectively, on the MIT-BIH arrhythmia database. The SOC occupies a die area of 3.1 mm2 and consumes 13.1 µW on average from a 1.8-V supply.
While these algorithms exhibit remarkable accuracy in arrhythmia detection, their implementation frequently demands substantial computational resources and hardware infrastructure. Furthermore, given the reliance of biomedical wearable and implantable devices on batteries with restricted energy capacity [23], the meticulous design of dedicated hardware architectures, incorporating ultra-low-power VLSI (very large scale integration) techniques, becomes essential for processing biomedical algorithm kernels at the edge.
In general, those ECG processors consist of three main stages: R-peak detection, feature extraction, and classification [24]. Feature extraction plays a vital role in ECG signal classification, as it reduces the signal’s dimensionality and extracts the most relevant information for classification. One widely used method for feature extraction is the discrete wavelet transform (DWT) [25]. DWT is particularly suitable for ECG feature extraction because it is robust against noise and can extract various features from ECG signals, including time-domain, frequency-domain, and morphological features. To keep computational complexity low, many researchers [26,27,28] have chosen the Haar wavelet, the simplest wavelet function, for use in low-power embedded systems.
Conventional classification systems typically employ an “always-on” architecture, consuming significant time and energy by processing unimportant events. Especially for ECG arrhythmia detection, difficult-to-classify samples are much rarer than easy-to-classify ones [29]. Spending significant time and energy on easy-to-classify samples in long-term monitoring scenarios is particularly problematic for ECG processors. Consequently, recent studies have focused on the development of hybrid multi-stage wake-up architectures [30,31,32]. These systems feature:
(1)
Pre-classification circuits are specifically designed to efficiently discern and handle readily classifiable samples.
(2)
A high-performance classifier that performs computationally intensive tasks for precise classification of challenging samples is activated by pre-classification circuits when encountering ambiguous samples beyond their discrimination threshold.
This paper presents an energy-efficient ECG processor for arrhythmia detection, which primarily comprises three modules: the ECG R-peak detection engine, the feature extraction engine, and the hybrid ECG classifier. The Hilbert transform-based R-peak detection engine is responsible for R-peak detection and R-R interval calculation. The Haar DWT unit is responsible for feature extraction. The hybrid ECG classifier architecture, comprising a linear pre-classifier (LPC) and a non-linear support vector machine (NLSVM) classifier, is employed to achieve a great power reduction with negligible detection performance loss. Our work primarily focuses on the hardware implementation of the algorithms in an area-energy-efficient manner, with the premise of sacrificing acceptable performance. Our contributions presented in this paper are as follows:
  • An R-peak detection algorithm based on the Hilbert transform with some adjustments to make it more suitable for hardware implementation;
  • A time-division multiplexing (TDM) based ECG R-peak detection engine with significant hardware sharing;
  • A feature extraction unit based on HDWT and the ideas of approximation calculation and pruning are utilized for optimization;
  • A Hybrid ECG classifier that combines linear methods and non-linear support vector machines (NLSVM) to achieve high accuracy and efficiency.
The remaining part of this paper is organized as follows: Section 2 shows an overview of the ECG signal processing algorithm. The implementation optimizations and the hardware architecture of the proposed ECG processor are discussed in Section 3; Section 4 reports the experimental results and performance of the ECG processor; and Section 5 concludes this paper.

2. Algorithm Overview

The proposed system consists of three main stages: ECG R-peak detection, feature extraction, and ECG arrhythmia detection, as shown in Figure 1.
In the first stage, the ECG R-peak detection stage is responsible for signal preprocessing and R-peak detection. ECG signals are often contaminated by various types of noise in realistic environments, such as power line interference, electrode contact noise, motion artifacts, muscle contraction, and baseline drift [33]. Hence, the first step is to apply a bandpass filter with 1–40 Hz cutoff frequencies based on empirical results to the raw ECG signal, which isolates the predominant QRS energy centered at 10 Hz.
For the R-peak detection, unlike other works [34,35] that adopt the PAT (Pan and Tompkins) algorithm [36] as a way to detect R-peaks, the R-peak in this paper is detected by a Hilbert-based R-peak detection algorithm based on the algorithm in [37]. The overall algorithmic flow is shown in Figure 2.
In order to reduce computational complexity and enhance energy efficiency, the following adjustments were implemented: the Shannon energy envelope was substituted by the energy envelope for forming a single-sided threshold mechanism and amplifing the QRS complexes; the zero-phase filter was replaced by the triangular wave filter for the smoothing of the extracted envelope; and the Hilbert transform was swapped by the Hilbert filter for converting peaks to positive zero-crossing points. The detailed explanation of the reasons for the modification and the corresponding proof (formula analysis, simulation results) are shown as follows:
First, the Shannon energy envelope was substituted by the energy envelope. The energy value and the Shannon energy value of the differenced ECG signal are computed using the following equations: d[n] represents the differentiated ECG data, e[n] denotes the energy envelope, and s[n] represents the Shannon energy envelope.
e n = d 2 [ n ] ,
s n = d 2 [ n ] log d 2 [ n ]
For hardware implementation, it is very difficult to calculate the log function in the Shannon energy envelope. Therefore, we chose the energy envelope, although this would sacrifice some performance.
Second, the method of zero-phase filtering mentioned in the literature [37] was substituted by triangular window filters, which can make the envelope smoother and sharper. The specific method are to perform two forward and backward rectangular window filters on the data. The original data s is convolved with the rectangular window to obtain s′. The data of s′ is reversed to obtain sr′, and then sr′ is convolved again to obtain s″. The filtered result is obtained by reversing s″, as shown in the following equation.
c o n v s , h s s r c o n v s r , h s y
But the operation requires forward and backward filtering of the waveform data twice. In hardware implementation, a large amount of memory is needed to store the data to be reversed, which consumes a lot of hardware resources and affects the real-time performance of the algorithm.
The time-domain calculation formula of the zero-phase filtering method is shown in the following equations.
y 1 n = x n h ( n ) ,
y 2 n = y 1 N n 1 ,  
y 1 n = x n h ( n ) ,
y 2 n = y 1 N n 1 ,
And the frequency domain response function is shown in the following equations.
Y 1 e j ω = X e j ω H e j ω ,
Y 2 e j ω = e j ω N 1 Y 1 e j ω ,
Y 3 e j ω = Y 2 e j ω H e j ω ,
Y e j ω = e j ω N 1 Y 3 e j ω
The final frequency domain transform can be obtained in the following equation.
Y e j ω = X ( e j ω ) H e j ω 2
The above equation shows that the unit-impulse response of the entire system is shown in the following equations.
h v n = h n * h ( n )
The h(n) is a triangular window, and the h(−n) is also a triangular window. The convolution of two rectangular windows is a triangular window with a width of 2N − 1.
Based on the above analysis, we propose to use triangular window coefficient filtering to extract the envelope. Generally, the N should be approximately the same as the duration of a possible wider QRS complex. The length of the filter is found empirically. For a sampling rate of 360 samples/s, the filter length of 75 samples is found in this study, and the corresponding coefficients are [1, 2, 3, …, 36, 37, 36, …, 3, 2, 1].
In addition, we also used MATLAB to compare the results of filtering with the rectangular window twice and the triangular window. It can be seen from Figure 3 that the two lines overlap, and they are equivalent in terms of filtering effect.
Finally, the Hilbert transform was swapped with the approximate Hilbert filter. The Hilbert transform is theoretically an infinite-length transform, which can be obtained by the Fourier transform, but the Fourier transform has high computational complexity and is costly to implement. In hardware implementation, the Hilbert filter is often used. The filter coefficients of the Hilbert transform are shown as follows:
h n = 1 ( 1 ) m n π 0                                               n = 2 m                                             2 n π                                         n = 2 m + 1                              
It can be seen that the filter coefficients are symmetric [···−1/5π, 0, −1/3π, 0, −1/π, 0, 1/π, 0, 3/π, 0, 5/π, ···], and they can also be infinite in length. In practical use, obviously, a large order will not be used, as the coefficient values are smaller when n is large. In order to determine the optimal filter order for R-peak detection, we simulated the ability of the Hilbert filter to convert peaks to positive zero-crossing when different values of n are taken using MATLAB, as shown in Figure 4.
As we can see from the above Figure 4, if the order (n) is small, the convolution operation interval is short, the peak sensitivity is high, and the noise tolerance is weak. If the order is large, the convolution operation interval is long, the peak sensitivity is low, and the noise tolerance is strong. As n increases from 180 to 360, the marginal benefit becomes very small, and due to the presence of noise in wearable device environments, we selected an order of n = 180 for the Hilbert filter.
From a theoretical perspective, to convert peaks to positive zero-crossing, the coefficients need to be odd-symmetric about the coordinate axis. Since peaks are approximately even-symmetric about the peak point, as long as the coefficients are odd-symmetric in the first and third quadrants, the convolution calculation result of the peak point will definitely be 0, and it will become a positive zero-crossing. Therefore, we do not need to strictly implement the standard Hilbert filter. In addition, increasing the number of zeros in the filter coefficients can significantly reduce the computational load on hardware.
To make the hardware implementation easier and reduce the computational load of this step, we modify the filter coefficient as follows: one zero between every two non-zero coefficients is changed to four zeros. And to avoid the coefficients from decreasing too quickly, the non-zero coefficients were taken as 1, 1/2, 1/3, 1/4, and so on. The Hilbert transform length was set to 0.5 s—a sampling rate of 360 Hz—which corresponds to a coefficient of 180. As a result, the coefficients are [−1/18, 0, 0, 0, 0, −1/17, 0, 0, 0, 0, …, −1/2, 0, 0, 0, 0, −1, 0, 0, 0, 0, 1, 0, 0, 0, 0, 1/2, …, 1/17, 0, 0, 0, 0, 1/18]. According to the Matlab simulation shown in Figure 5, it can be seen that the Hilbert filter with this coefficient almost coincides with the original Hilbert transform in terms of the position of the positive zero-crossing point.
After that, the heartbeat is segmented by taking 48 samples before and 79 samples after the R-peak to compose a 128-sample heartbeat window (assuming the sampling rate is 360 Hz) [38], which contains sufficient information for arrhythmia detection.
In the second stage, we employ the Haar discrete wavelet transform (HDWT) as our primary analysis method. Notwithstanding its limitations, we believe it remains suitable for the current objective. For each ECG heartbeat, the original signal is a vector of 128 dimensions {a1, a2,... a128}. Our extracted feature is the scale-3 approximation coefficient, resulting in a 16-dimensional vector.
In the final stage, we propose a two-stage hybrid ECG classifier aimed at reducing computational complexity and power consumption. The linear pre-classifier utilizes features such as the previous R-R interval and morphological information for classification. ECG segments not classified by the linear pre-classifier undergo further analysis using a high-performance classifier based on non-linear support vector machines (NLSVM).

3. Hardware Implementation

3.1. Overall Architecture

Figure 6 illustrates the overall architecture of the proposed processor, primarily comprising three modules: the ECG R-peak detection engine, the feature extraction engine, and the hybrid ECG classifier. The R-peak detection engine includes the process element (PE), the R-peak detection state machine, and the positive zero-crossing (PZC) detection engine. The hybrid ECG classifier includes a linear pre-classifier and a SVM classifier. In addition to these modules, the SPI are employed for loading parameters and ECG raw data, while the SRAM (static random access memory) serves to store raw ECG data, immediate data, filter coefficients, and SVM parameters.

3.2. ECG R-Peak Detection Engine

Figure 7 shows the detailed architecture of the R-peak detection engine, which comprises five components: the R-peak detection state machine, process element (PE), data buffer, parameter storage, and positive zero-crossing (PZC) detection unit. We utilize a 1-KB SRAM for the data buffer, which stores both raw ECG and intermediate data. The filter coefficients are stored in the parameter SRAM. The computational flow is controlled by the R-peak detection state machine. To enhance resource utilization, each computational stage shares the same PE.

3.2.1. R-Peak Detection State Machine

The R-peak detection engine uses hardware time-division multiplexing (TDM) to improve resource efficiency. This is followed by an increase in the control complexity of multiple microcontrol units sharing the PE and memory, and a decrease in signal processing speed. Therefore, the state machine design concentrates on controlling the input of the arithmetic unit and the data write-back of the data buffer. In addition, the sampling frequency of ECG signals is often relatively low, for example, 250 Hz or 360 Hz. Therefore, the delay in signal processing is not a major issue.
Figure 8a depicts the state diagram of the R-peak detection state machine. This FSM (finite state machine) controls every intermediate FSM at every stage. It primarily comprises four components: raw data write, bandpass filter, triangle filter, and Hilbert filter. In each intermediate filtering stage, data reading, calculation, and data return are necessary. The difference and square operation are optional.
After receiving the data from the SPI interface (data_en = 1), the R-peak detection state machine will be activated, and the computation will start by storing the data in the buffer. Figure 8b depicts each independent filtering operation, primarily involving data read, computation, difference squaring (optional), and result return.
Each stage of the process shares the same PE to improve resource utilization. The state machine design focuses on controlling the input of the arithmetic unit and managing the data write-back address. For the bandpass filter and triangle filter, the calculated results are stored as intermediate data in SRAM. As for the calculation result of the Hilbert filter, it is transmitted to the PZC detection unit.
In addition, the state machine also needs to manage the truncation and saturation strategies for PE results at different stages and determine whether the PE should perform a difference square. Specifically, in the case of triangle filtering, the filter coefficients are not stored in parameter SRAM but instead generated by the FSM.

3.2.2. Process Element

Computation is performed by the process element (PE). As shown in Figure 9, the PE comprises a multiplier, an accumulator, a subtracter, a saturation and truncation unit, and an input/output multiplexer. The operation of PE follows the INT16 format. To address the issue of overflow, we employ saturation and truncation.

3.2.3. Positive Zero-Crossing (PZC) Dection Unit

This unit performs the following steps to detect the R-peaks from the Hilbert filter output: First, it compares the sign bit of the current and the previous filter output. A sign bit change from 1 to 0 indicates a positive zero-crossing point, which is considered a potential R-peak. Second, it calculates the memory address of the potential R-peak by subtracting the computation delay incurred during the R-peak detection process. Third, it searches for the maximum value within a window of ±25 samples around the potential R-peak address and updates it as the new R-peak. Fourth, it compares the candidate R-R interval, which is the time difference between two consecutive R-peaks, with the normal heart rate range. If the candidate R-R interval is outside the normal range, the R-peak detection is deemed erroneous. Finally, it provides the R-R interval as an input for the linear classifier.

3.3. Feature Extraction Unit

After R-peak detection, we adopt a 128-sample window (at 360 Hz sampling rate) for each heartbeat for feature extraction, which spans from 48 samples before the R peak to 79 samples after the R peak. This window covers the QRS segments that are essential for the arrythmia detection task.
To perform so, we have the discrete wavelet transform (DWT) as our principal analysis method. To keep the computational complexity low, we have selected the Haar wavelet—the simplest wavelet function—as the wavelet function. And based on our Matlab simulation results, the Haar wavelet only results in negligible performance loss.
The HDWT can be calculated by filtering the signal, followed by a down-sampling process as shown in Figure 10. The signal is partitioned into approximation coefficients, which correspond to the low-frequency signal, and detail coefficients related to the high-frequency portion. After interactions, we achieve the desired resolution level.
The main feature of each heartbeat is captured by the scale-3 approximation coefficient, which we select as the feature vector for each heartbeat and apply as input to the next classification stage. In the hardware implementation, the idea of pruning is used to reduce the computational complexity; we only need to execute the specific calculation (represented in blue in the above figure) to obtain a3 and ignore the computation of d1, d2, and d3, as they are not relevant to our analysis.
Mathematically [39], given an input signal, X(n) = {x1, x2, x3,..., xn}, where n is the number of elements in the vector, the approximation coefficients of the first level resolution will be calculated according to the following equation:
a 1 = x 1 + x 2 2 , x 3 + x 4 2 , x 5 + x 16 2 , , x n 1 + x n 2
By applying the above equation three times, we can obtain the calculation equation for a3.
a 3 = i = 1 8 x i 2 2 , i = 9 16 x i 2 2 , i = 17 24 x i 2 2 , , n 7 n x i 2 2
After this, the next step is to optimize the hardware architecture of the HDWT by leveraging approximate computing. Considering the complexity introduced by the presence of 2 in the above formula, implementing it in hardware design becomes challenging. Therefore, we approximate 2 using the following formula, and the symbol “>>“ in the formula represents a bit shift operation.
X 2 2 181 2 9 = 128 + 32 + 16 + 4 + 1 9
The hardware architecture of the HDWT-based feature extraction engine, as shown in Figure 11, only contains addition and bit shift operations.

3.4. Hybrid ECG Classifier

Conventional classification systems often employ an always-on architecture, which consumes significant time and energy processing events that are not of interest, especially during long-term biosignal monitoring scenarios. Nevertheless, biosignals exhibit sparsity, allowing a multi-stage processing paradigm to notably decrease the frequency of activating high-precision processing systems, thereby reducing power consumption during system standby.

3.4.1. Linear Pre-Classifier (LPC)

In order to lower the probability of activating the high-performance classifier and address the easy-to-classify segments, based on the morphological observation of the scale-3 approximation coefficients, we propose a method to determine whether the morphology is similar to A/V, as shown in Figure 12, which has a significant difference from normal.
The criterion involves measuring the difference between the first inflection point on the right side of the R-peak and the terminal point. If this difference surpasses a predefined threshold, we classify the morphology as similar to A/V.
However, it is too arbitrary to judge based on this one condition alone. In our study, we also incorporate the previous RR interval as an additional feature for judgment. The RR interval is widely recognized as an effective feature for reflecting cardiac arrhythmia [40,41]. Chen et al. [42] presented that arrhythmia beats such as atrial or ventricular premature beats exhibit a shorter previous RR interval (PRI) compared to the average RR interval (ARI) (PRI < 0.9ARI).
If an ECG segment satisfies both of the aforementioned criteria, we consider it to have an abnormal heartbeat; otherwise, it will activate a high-performance SVM classifier. Moreover, as the linear pre-classification stage has relatively low accuracy, in order to maintain the overall accuracy, the thresholds used in the pre-classification are set to a very strict level, so that a relatively large number of samples will be sent to the NLSVM stage for further classification.

3.4.2. SVM Classifier

We apply a high-performance classifier based on SVM (support vector machine) to the ECG segments that were not classified by the previous level. SVM is a pattern-recognition method developed by V. Vapnik and his colleagues [43]. In this paper, we use a polynomial kernel to achieve higher accuracy because it adapts well to the ECG classification problem and has a relatively low computational complexity. The decision function and the polynomial kernel function are shown as follows:
f x = s i g n ( i S V s a i y i K x i , x + b )
K x i , x = ( γ x T x i + r ) ρ
We perform the training phase off-line and obtain the SVM model parameters using the svmtrain function of SVMLIB [44]. Based on the results of cross-validation and the consideration of hardware implementation, we use the parameters γ = 1/256, ρ = 2, and r = 2 in this paper, and the total support vector number is 228.
For hardware implementation, we have a large time margin for calculation due to the long interval between heartbeats. To reduce hardware resources, we propose the SVM classifier architecture shown in Figure 13. The data format used for computation are 32Q16. Through MATLAB simulation, converting the data format from MATLABs double type to 32Q16 for hardware implementation caused some precision loss, but it was within an acceptable range.

4. Results and Discussion

In addition to MATLAB simulations, we performed comprehensive Verilog simulations using Synopsys tools to validate the functionality of the design. We employed Synopsys VCS as a simulation and verification tool, while we utilized Synopsys digital design tools for synthesis, placement, routing, and estimation of area and power consumption.

4.1. ECG Database

We utilized the MIT-BIH Arrhythmia Database [45] as the source of data for this paper. The database consists of 48 two-channel ambulatory ECG recordings; each recording has a duration of 30 min and a sampling rate of 360 Hz with an 11-bit resolution over a 10 mV range. The database also provides computer-readable reference annotations for each beat in every ECG recording, which makes it suitable for the ECG classification task.

4.2. Results of the ECG R-Peak Detection Stage

The implemented R-peak detector is evaluated by comparing it with the annotated information. We computed three quantitative measures from the detection results: true-positive (TP), which indicates that the proposed algorithm correctly identified a R-peak; false-negative (FN), which indicates that the proposed algorithm failed to detect a R-peak; and false-positive (FP), which indicates that the proposed algorithm mistakenly classified a noise spike as a R-peak.
The evaluation metrics were sensitivity (SE) and positive predictivity (+P), which were defined as follows:
S E = T P T P + F N
+ P = T P T P + F P
The QRS complex detector achieved a sensitivity of SE = 99.83% and a precision of p = 99.65%. Table 1 summarizes the comparison of the R-peak detection algorithm proposed in this paper with some previous works. As we can see from the table, the performance of the technique is comparable to or better than the state-of-the-art methods and satisfactory for advanced ECG feature extraction.

4.3. Performance of the Proposed Classifier

To demonstrate the performance of the proposed hybrid classifier, ten thousand ECG segments in total were utilized for testing the performance of LPC and the training process to obtain the SVM model, among them five thousand normal and abnormal heartbeats.
As a result, the proposed LPC can handle more than 23% of the abnormal beats and achieve an average filtering ratio of 11.5%. For LPC, we experimented with relaxing the comparison threshold. Although this would increase the proportion of LPC classifications and reduce the probability of activating high-performance classifiers, the accuracy loss caused by relaxing the threshold is unacceptable. Therefore, we chose to give LPC a relatively strict threshold. As a result, the classification accuracy of LPC is also high, at 98.86%.
For the SVM classifier, three thousand ECG segments were used for the testing process. Table 2 presents the performance of the algorithm for data types double and 32Q16. Additionally, in order to reduce power consumption and save memory storage, we experimented with using the INT16 data type, but this resulted in unacceptable performance degradation. Therefore, we chose the 32Q16 data format. As a result, the detection results showed that it can achieve 97.34% overall detection accuracy for the task of ECG normal/abnormal heartbeat classification.

4.4. Latency

Compute latency is the time delay between the input and output of a computation. It is a crucial factor for the performance and reliability of embedded biomedical devices [47]. Embedded biomedical devices typically have limited resources, such as memory, power, and bandwidth, and need to process large amounts of data from sensors or other sources. Therefore, minimizing compute latency is essential for ensuring the accuracy, efficiency, and safety of these devices.
The VCS simulation waveform of the computation process corresponding to this processor is shown in Figure 14. The data_en signal indicates that the SPI interface have received a new ECG signal. The ecg_data, diff_square_data, trifilter_data, and pre_hilbert_result signals, respectively, represent the signals in each process of the first stage. The r_peak_flag signal indicates the R-peak detection signal. The non-zero width of the cmp_cnt signal represents the feature extraction process. The non-zero width of the loop_cnt signal represents the SVM classification computation process. As shown in the figure below, the main delay is the phase delay of the filter, and the delay ratio of feature extraction and classification is very small; it is only 8.16 ms under the 1 Mz operating frequency.

4.5. ASIC Implementation

The proposed processor is manufactured on the HHGrace 110 nm CMOS process. The die photograph and specification are shown in Figure 15. The design occupied a total core area of 1.34 mm2 and consumed a total statistical power of 4.08 μW. It integrates 8 KB of SRAM.

4.6. Comparison with Others

Table 3 summarizes the comparison of this work with previous works using hardware implementations for cardiac arrhythmia detection. As shown below, the proposed processor achieves relatively high accuracy with less overhead.

5. Conclusions

An energy-efficient cardiac arrhythmia detection ECG processor based on HDWT and hybrid classifiers is presented in this paper. We first optimize the Hilbert-based R-peak detection algorithm to make it easier to implement in hardware. Next, using the optimized R-peak detection engine, the ECG data are decomposed into segments with 128 sample points. Then, 16-dimensional feature vectors are extracted by calculating the level-3 HDWT approximation coefficient. Finally, the feature vectors are transmitted to the hybrid classifier for classification. The hybrid classifier combines a linear pre-classifier and a polynomial kernel SVM to achieve high accuracy and low complexity. The experimental results demonstrate that the R-peak extraction method based on the Hilbert transform outperforms the traditional ones in terms of accuracy. Moreover, the hybrid classifier SVM classification using the approximate coefficients derived from HDWT as feature vectors exhibits satisfactory classification performance. The proposed algorithm and hardware are built on the MIT_BIT database, and the classification accuracy is usually affected by large patient-to-patient variations. Therefore, future work will focus on developing an adaptive learning engine to learn the user’s signal features and improve classification accuracy. In addition, to address the storage and analysis needs of large-scale ECG datasets, we will develop a context-aware compression engine. This engine would dynamically adjust compression algorithms based on heartbeat classification. Typical beats would undergo lossy compression for efficient storage, while abnormal beats would be subjected to lossless compression to preserve diagnostic information for further investigation.

Author Contributions

Conceptualization, J.D., X.W. and X.Z.; methodology, J.D. and J.M.; software, J.D. and J.Y.; validation, S.L. and H.C.; data curation, J.D. and J.M.; writing—original draft preparation, J.D. and J.Y.; writing—review and editing, H.C. and S.L.; visualization, J.D. and J.Y.; supervision, X.W. and X.Z.; project administration, X.W. and X.Z.; funding acquisition, X.W. and X.Z. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by a fundamental research grant from the Shenzhen Science and Technology Innovation Commission, grant number KQTD20200820113105004.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data are contained within the article.

Acknowledgments

The authors would like to thank Song Ma for his beneficial suggestions and comments.

Conflicts of Interest

Authors Shuyu Liu and Hongming Chen were employed by the company Shanghai BU (Xishuo Microelectronic) of RUNIC Technology Co., Ltd. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Cardiovascular Diseases (CVDs). Available online: https://www.who.int/news-room/fact-sheets/detail/cardiovascular-diseases-(cvds) (accessed on 7 December 2023).
  2. Xiao, Q.; Lee, K.; Mokhtar, S.A.; Ismail, I.; Pauzi, A.L.b.M.; Zhang, Q.; Lim, P.Y. Deep Learning-Based ECG Arrhythmia Classification: A Systematic Review. Appl. Sci. 2023, 13, 4964. [Google Scholar] [CrossRef]
  3. Bote, J.M.; Recas, J.; Rincón, F.; Atienza, D.; Hermida, R. A Modular Low-complexity ECG Delineation Algorithm for Real-time Embedded systems. IEEE J. Biomed. Health Inform. 2017, 22, 429–441. [Google Scholar] [CrossRef]
  4. Li, P.; Wang, Y.; He, J.; Wang, L.; Tian, Y.; Zhou, T.S.; Li, J.S. High-Performance Personalized Heartbeat Classification Model for Long-Term ECG Signal. IEEE Trans. Biomed. Eng. 2016, 64, 78–86. [Google Scholar]
  5. Alvarado, A.S.; Lakshminarayan, C.; Principe, J.C. Time-Based Compression and Classification of Heartbeats. IEEE Trans. Biomed. Eng. 2012, 59, 1641–1648. [Google Scholar] [CrossRef]
  6. Kayikcioglu, I.; Akdeniz, F.; Köse, C.; Kayikcioglu, T. Time-frequency Approach to ECG Classification of Myocardial Infarction. Comput. Electr. Eng. 2022, 84, 106621. [Google Scholar] [CrossRef]
  7. Hurnanen, T.; Lehtonen, E.; Tadi, M.J.; Kuusela, T.; Kiviniemi, T.; Saraste, A.; Pänkäälä, M. Automated Detection of Atrial Fibrillation Based on Time–Frequency Analysis of Seismocardiograms. IEEE J. Biomed. Health Inform. 2016, 21, 1233–1241. [Google Scholar] [CrossRef]
  8. Kumar, G.; Chatterjee, B.; Sen, S. CS-Audio: A 16 pJ/b 0.1–15 Mbps Compressive Sensing IC with DWT Sparsifier for Audio-AR. IEEE J. Solid-State Circuits 2022, 57, 2220–2235. [Google Scholar]
  9. Madhavi, K.R.; Kora, P.; Reddy, L.V.; Avanija, J.; Soujanya, K.L.S.; Telagarapu, P. Cardiac Arrhythmia Detection Using Dual-tree Wavelet Transform and Convolutional Neural Network. Soft Comput. 2022, 26, 3561–3571. [Google Scholar] [CrossRef]
  10. Athif, M.; Yasawardene, P.C.; Daluwatte, C. Detecting Atrial Fibrillation from Short Single Lead ECGs Using Statistical and Morphological Features. Physiol. Meas. 2018, 39, 064002. [Google Scholar] [CrossRef]
  11. Kumar, D.; Peimankar, A.; Sharma, K.; Domínguez, H.; Puthusserypady, S.; Bardram, J.E. Deepaware: A Hybrid Deep Learning and Context-aware Heuristics-based Model for Atrial Fibrillation Detection. Comput. Methods Progr. Biomed. 2022, 221, 106899. [Google Scholar] [CrossRef]
  12. Venkatesan, C.; Karthigaikumar, P.; Paul, A.; Satheeskumaran, S.; Kumar, R. ECG Signal Preprocessing and SVM Classifier-Based Abnormality Detection in Remote Healthcare Applications. IEEE Access 2018, 6, 9767–9773. [Google Scholar] [CrossRef]
  13. Ozaltin, O.; Yeniay, O. A Novel Proposed CNN–SVM Architecture for ECG Scalograms Classification. Soft Comput. 2023, 27, 4639–4658. [Google Scholar] [CrossRef]
  14. Pander, T. An Improved Approach for Atrial Fibrillation Detection in Long-Term ECG Using Decomposition Transforms and Least-Squares Support Vector Machine. Appl. Sci. 2023, 13, 12187. [Google Scholar] [CrossRef]
  15. Wang, Z.; Liu, Y.; Zhou, P.; Tan, Z.; Fan, H.; Zhang, Y.; Huang, R. A 148-nW Reconfigurable Event-Driven Intelligent Wake-Up System for AIoT Nodes Using an Asynchronous Pulse-Based Feature Extractor and a Convolutional Neural Network. IEEE J. Solid-State Circuits 2021, 56, 3274–3288. [Google Scholar] [CrossRef]
  16. Janveja, M.; Parmar, R.; Tantuway, M.; Trivedi, G. A DNN-Based Low Power ECG Co-Processor Architecture to Classify Cardiac Arrhythmia for Wearable Devices. IEEE Trans. Circuits Syst. II Express Briefs 2022, 69, 2281–2285. [Google Scholar] [CrossRef]
  17. Chen, Y.H.; Chen, S.W.; Chang, P.J.; Hua, H.T.; Lin, S.Y.; Chen, R.S. A VLSI Chip for the Abnormal Heart Beat Detection Using Convolutional Neural Network. Sensors 2022, 22, 796. [Google Scholar] [CrossRef]
  18. Yeh, L.R.; Chen, W.C.; Chan, H.Y.; Lu, N.H.; Wang, C.Y.; Twan, W.H.; Du, W.C.; Huang, Y.H.; Hsu, S.Y.; Chen, T.B. Integrating ECG Monitoring and Classification via IoT and Deep Neural Networks. Biosensors 2021, 11, 188. [Google Scholar] [CrossRef]
  19. Kiranyaz, S.; Ince, T.; Gabbouj, M. Real-Time Patient-Specific ECG Classification by 1-D Convolutional Neural Networks. IEEE Trans. Biomed. Eng. 2015, 63, 664–675. [Google Scholar] [CrossRef]
  20. Śmigiel, S.; Pałczyński, K.; Ledziński, D. Deep Learning Techniques in the Classification of ECG Signals Using R-Peak Detection Based on the PTB-XL Dataset. Sensors 2021, 21, 8174. [Google Scholar] [CrossRef]
  21. Bayasi, N.; Tekeste, T.; Saleh, H.; Mohammad, B.; Khandoker, A.; Ismail, M. Low-Power ECG-Based Processor for Predicting Ventricular Arrhythmia. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2015, 24, 1962–1974. [Google Scholar] [CrossRef]
  22. Dekimpe, R.; Bol, D. ECG Arrhythmia Classification on an Ultra-Low-Power Microcontroller. IEEE Trans. Biomed. Circuits Syst. 2022, 16, 456–466. [Google Scholar] [CrossRef] [PubMed]
  23. Zhao, S.; Fang, C.; Yang, J.; Sawan, M. Emerging Energy-Efficient Biosignal-Dedicated Circuit Techniques: A Tutorial Brief. IEEE Trans. Circuits Syst. II Express Briefs 2022, 69, 2592–2597. [Google Scholar] [CrossRef]
  24. Wasimuddin, M.; Elleithy, K.; Abuzneid, A.S.; Faezipour, M.; Abuzaghleh, O. Stages-Based ECG Signal Analysis From Traditional Signal Processing to Machine Learning Approaches: A Survey. IEEE Access 2022, 8, 177782–177803. [Google Scholar] [CrossRef]
  25. Khorrami, H.; Moavenian, M. A Comparative Study of DWT, CWT and DCT Transformations in ECG Arrhythmias Classification. Expert Syst. Appl. 2010, 37, 5751–5757. [Google Scholar] [CrossRef]
  26. Zhang, D.; Wang, S.; Li, F.; Wang, J.; Sangaiah, A.K.; Sheng, V.S.; Ding, X. An ECG Signal De-Noising Approach Based on Wavelet Energy and Sub-Band Smoothing Filter. Appl. Sci. 2019, 9, 4968. [Google Scholar] [CrossRef]
  27. Talukder, S.; Singh, R.; Bora, S.; Paily, R. An Efficient Architecture for QRS Detection in FPGA Using Integer Haar Wavelet Transform. Circuits Syst. Signal Process. 2020, 39, 3610–3625. [Google Scholar] [CrossRef]
  28. Mazomenos, E.B.; Biswas, D.; Acharyya, A.; Chen, T.; Maharatna, K.; Rosengarten, J.; Curzen, N. A Low-Complexity ECG Feature Extraction Algorithm for Mobile Healthcare Applications. IEEE J. Biomed. Health Inform. 2013, 17, 459–469. [Google Scholar] [CrossRef] [PubMed]
  29. Lu, Y.; Jiang, M.; Wei, L.; Zhang, J.; Wang, Z.; Wei, B.; Xia, L. Automated Arrhythmia Classification Using Depthwise Separable Convolutional Neural Network with Focal Loss. Biomed. Signal Process. Control 2021, 69, 102843. [Google Scholar] [CrossRef]
  30. Wang, Z.; Zhang, H.; Zhang, Y.; Shen, L.; Ru, J.; Fan, H.; Huang, R. A Software-Defined Always-On System with 57–75-nW Wake-Up Function Using Asynchronous Clock-Free Pipelined Event-Driven Architecture and Time-Shielding Level-Crossing ADC. IEEE J. Solid-State Circuits 2021, 56, 2804–2816. [Google Scholar] [CrossRef]
  31. Bauer, F.C.; Muir, D.R.; Indiveri, G. Real-Time Ultra-Low Power ECG Anomaly Detection Using an Event-Driven Neuromorphic Processor. IEEE Trans. Biomed. Circuits Syst. 2019, 13, 1575–1582. [Google Scholar] [CrossRef]
  32. Chu, H.; Yan, Y.; Gan, L.; Jia, H.; Qian, L.; Huan, Y.; Zou, Z. A Neuromorphic Processing System with Spike-Driven SNN Processor for Wearable ECG Classification. IEEE Trans. Biomed. Circuits Syst. 2022, 16, 511–523. [Google Scholar] [CrossRef] [PubMed]
  33. Anbalagan, T.; Nath, M.K.; Vijayalakshmi, D.; Anbalagan, A. Analysis of Various Techniques for ECG Signal in Healthcare, Past, Present, and Future. Biomed. Eng. Adv. 2023, 6, 100089. [Google Scholar] [CrossRef]
  34. Liu, J.; Fan, J.; Zhong, Z.; Qiu, H.; Xiao, J.; Zhou, Y.; Zhou, J. An Ultra-low Power Reconfigurable Biomedical AI Processor with Adaptive Learning for Versatile Wearable Intelligent Health Monitoring. IEEE Trans. Biomed. Circuits Syst. 2023, 17, 952–967. [Google Scholar] [CrossRef] [PubMed]
  35. Tang, X.; Hu, Q.; Tang, W. A Real-Time QRS Detection System with PR/RT Interval and ST Segment Measurements for Wearable ECG Sensors Using Parallel Delta Modulators. IEEE Trans. Biomed. Circuits Syst. 2018, 12, 751–761. [Google Scholar] [CrossRef] [PubMed]
  36. Pan, J.; Tompkins, W.J. A Real-time QRS Detection Algorithm. IEEE Trans. Biomed. Eng. 1985, 3, 230–236. [Google Scholar] [CrossRef] [PubMed]
  37. Manikandan, M.S.; Soman, K.P. A Novel Method for Detecting R-peaks in Electrocardiogram (ECG) Signal. Biomed. Signal Process. Control 2012, 7, 118–128. [Google Scholar] [CrossRef]
  38. Wang, N.; Zhou, J.; Dai, G.; Huang, J.; Xie, Y. Energy-Efficient Intelligent ECG Monitoring for Wearable Devices. IEEE Trans. Biomed. Circuits Syst. 2019, 13, 1112–1121. [Google Scholar] [CrossRef] [PubMed]
  39. Seidel, H.B.; da Rosa, M.M.A.; Paim, G.; da Costa, E.A.C.; Almeida, S.J.; Bampi, S. Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing. IEEE Trans. Circuits Syst. I Regul. Pap. 2021, 68, 1814–1826. [Google Scholar] [CrossRef]
  40. Ye, C.; Kumar, B.V.; Coimbra, M.T. Heartbeat Classification Using Morphological and Dynamic Features of ECG Signals. IEEE Trans. Biomed. Eng. 2012, 59, 2930–2941. [Google Scholar]
  41. Tang, X.; Tang, W. An ECG Delineation and Arrhythmia Classification System Using Slope Variation Measurement by Ternary Second-Order Delta Modulators for Wearable ECG Sensor. IEEE Trans. Biomed. Circuits Syst. 2021, 15, 1053–1065. [Google Scholar] [CrossRef]
  42. Chen, Z.; Luo, J.; Lin, K.; Wu, J.; Zhu, T.; Xiang, X.; Meng, J. An Energy-Efficient ECG Processor with Weak-Strong Hybrid Classifier for Arrhythmia Detection. IEEE Trans. Circuits Syst. II Express Briefs 2017, 65, 948–952. [Google Scholar] [CrossRef]
  43. Vapnik, V. The Nature of Statistical Learning Theory; Springer Science & Business Media: Berlin, Germany, 1999. [Google Scholar]
  44. Chang, C.C.; Lin, C.J. LIBSVM: A Library for Support Vector Machines. ACM Trans. Intell. Syst. Technol. (TIST) 2011, 2, 1–27. [Google Scholar] [CrossRef]
  45. Moody, G.B.; Mark, R.G. The impact of the MIT-BIH Arrhythmia Database. IEEE Eng. Med. Biol. Mag. 2001, 20, 45–50. [Google Scholar] [CrossRef] [PubMed]
  46. Yin, Y.; Abubakar, S.M.; Tan, S.; Shi, J.; Yang, P.; Yang, W.; Seng-Pan, U. A 2.63 μW ECG Processor With Adaptive Arrhythmia Detection and Data Compression for Implantable Cardiac Monitoring Device. IEEE Trans. Biomed. Circuits Syst. 2021, 15, 777–790. [Google Scholar] [CrossRef] [PubMed]
  47. Alatoun, K.; Matrouk, K.; Mohammed, M.A.; Nedoma, J.; Martinek, R.; Zmij, P. A Novel Low-Latency and Energy-Efficient Task Scheduling Framework for Internet of Medical Things in an Edge Fog Cloud System. Sensors 2022, 22, 5327. [Google Scholar] [CrossRef]
  48. Chuang, Y.C.; Chen, Y.T.; Li, H.T.; Wu, A.Y.A. An Arbitrarily Reconfigurable Extreme Learning Machine Inference Engine for Robust ECG Anomaly Detection. IEEE Open J. Circuits Syst. 2021, 2, 196–209. [Google Scholar] [CrossRef]
  49. Abubakar, S.M.; Saadeh, W.; Altaf, M.A.B. A wearable long-term single-lead ECG processor for early detection of cardiac arrhythmia. In Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 19–23 March 2018. [Google Scholar]
  50. Hsu, S.Y.; Ho, Y.; Chang, P.Y.; Su, C.; Lee, C.Y. A 48.6-to-105.2 µW Machine Learning Assisted Cardiac Sensor SoC for Mobile Healthcare Applications. IEEE J. Solid-State Circuits 2014, 49, 801–811. [Google Scholar] [CrossRef]
Figure 1. Overall schematic representation of the proposed heartbeat arrhythmia detection flow.
Figure 1. Overall schematic representation of the proposed heartbeat arrhythmia detection flow.
Applsci 14 00342 g001
Figure 2. Block diagram of R-peak detection.
Figure 2. Block diagram of R-peak detection.
Applsci 14 00342 g002
Figure 3. Comparison of the effects of positive and negative filtering of rectangular windows and triangular window filtering (the rectangular window filtering curve overlaps with the triangular filtering window curve).
Figure 3. Comparison of the effects of positive and negative filtering of rectangular windows and triangular window filtering (the rectangular window filtering curve overlaps with the triangular filtering window curve).
Applsci 14 00342 g003
Figure 4. Comparison of different filtering lengths.
Figure 4. Comparison of different filtering lengths.
Applsci 14 00342 g004
Figure 5. Comparison of Hilbert transform and Hilbert filtering results.
Figure 5. Comparison of Hilbert transform and Hilbert filtering results.
Applsci 14 00342 g005
Figure 6. Overall schematic of the arrhythmia detection processor.
Figure 6. Overall schematic of the arrhythmia detection processor.
Applsci 14 00342 g006
Figure 7. The detailed architecture of the ECG R-peak detection engine.
Figure 7. The detailed architecture of the ECG R-peak detection engine.
Applsci 14 00342 g007
Figure 8. (a) State diagram of the ECG R-peak detection engine (b) State diagram of the filter operation.
Figure 8. (a) State diagram of the ECG R-peak detection engine (b) State diagram of the filter operation.
Applsci 14 00342 g008
Figure 9. Hardware architecture of the PE.
Figure 9. Hardware architecture of the PE.
Applsci 14 00342 g009
Figure 10. DWT filter bank with 3 levels.
Figure 10. DWT filter bank with 3 levels.
Applsci 14 00342 g010
Figure 11. Hardware architecture of the HDWT feature extraction engine.
Figure 11. Hardware architecture of the HDWT feature extraction engine.
Applsci 14 00342 g011
Figure 12. The scale-3 approximation coefficients corresponding to QRS morphology.
Figure 12. The scale-3 approximation coefficients corresponding to QRS morphology.
Applsci 14 00342 g012
Figure 13. The proposed SVM classifier architecture.
Figure 13. The proposed SVM classifier architecture.
Applsci 14 00342 g013
Figure 14. The simulation waveform of the arrhythmia detection processor.
Figure 14. The simulation waveform of the arrhythmia detection processor.
Applsci 14 00342 g014
Figure 15. Die photograph and specification of the processor.
Figure 15. Die photograph and specification of the processor.
Applsci 14 00342 g015
Table 1. R-peak detection performance comparison with other methods.
Table 1. R-peak detection performance comparison with other methods.
RefMethodologySE+P
[46]Adaptive derivative-based R-peak detection98.2%99.3%
[37]Haar DWT-based R-peak detection99.73%99.94%
[15]Pan-Tompkins algorithm based R-peak detection99.76%99.56%
Our methodHilbert transform based R-peak detection99.83%99.65%
Table 2. Normal/abnormal classification outcomes of ECG heartbeats.
Table 2. Normal/abnormal classification outcomes of ECG heartbeats.
TypesTrain NumberTest NumberAccuracyAccuracy
Normal5000150099.32% 199.44% 2
Abnormal5000150095.88% 195.24% 2
10,000300097.6% 197.34% 2
1 Use the Matlab double data format. 2 Use the 32Q16 data format.
Table 3. Comparison with previous works.
Table 3. Comparison with previous works.
ReferenceMethodTechnology (nm)Area (mm2)Accuarcy (%)
[48]ELM400.212392
[49]Threshold-based180NA97.02
[50]Machine Learning904.98995.8
[8]Naïve Bayes650.11286
This workSVM1101.3497.8
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Deng, J.; Ma, J.; Yang, J.; Liu, S.; Chen, H.; Wang, X.; Zhang, X. An Energy-Efficient ECG Processor Based on HDWT and a Hybrid Classifier for Arrhythmia Detection. Appl. Sci. 2024, 14, 342. https://doi.org/10.3390/app14010342

AMA Style

Deng J, Ma J, Yang J, Liu S, Chen H, Wang X, Zhang X. An Energy-Efficient ECG Processor Based on HDWT and a Hybrid Classifier for Arrhythmia Detection. Applied Sciences. 2024; 14(1):342. https://doi.org/10.3390/app14010342

Chicago/Turabian Style

Deng, Jiawen, Jieru Ma, Jie Yang, Shuyu Liu, Hongming Chen, Xin’an Wang, and Xing Zhang. 2024. "An Energy-Efficient ECG Processor Based on HDWT and a Hybrid Classifier for Arrhythmia Detection" Applied Sciences 14, no. 1: 342. https://doi.org/10.3390/app14010342

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop