Logic-Compatible Embedded DRAM Architecture for Multifunctional Digital Storage and Compute-in-Memory
Abstract
:1. Introduction
2. Logic-Compatible 3T Memory Cell
3. Proposed DC-GCDRAM Architecture
3.1. Overview
3.2. Memory Mode
3.3. Logic–Arithmetic Mode
3.4. Convolution Mode
4. Evaluation Results and Discussion
5. Conclusions
Author Contributions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Acknowledgments
Conflicts of Interest
References
- Verma, N.; Jia, H.; Valavi, H.; Tang, Y.; Ozatay, M.; Chen, L.-Y.; Zhang, B.; Deaville, P. In-memory computing advances and prospects. IEEE Solid-State Circuits Mag. 2019, 11, 43–55. [Google Scholar] [CrossRef]
- Taylor, B.; Zheng, Q.; Li, Z.; Li, S.; Chen, Y. Processing-in-memory technology for machine learning: From basic to ASIC. IEEE Trans. Circuits Syst.-II Express Briefs 2022, 69, 2598–2603. [Google Scholar] [CrossRef]
- Jeloka, S.; Akesh, N.B.; Sylvester, D.; Blaauw, D. A 28 nm configurable memory (TCAM/BCAM/SRAM) using push-rule 6T bit cell enabling logic-in-memory. IEEE J. Solid-State Circuits 2016, 51, 1009–1021. [Google Scholar] [CrossRef]
- Dong, Q.; Jeloka, S.; Saligane, M.; Kim, Y.; Kawaminami, M.; Harada, A.; Miyoshi, S.; Yasuda, M.; Blaauw, D.; Sylvester, D. A 4 + 2T SRAM for searching and in-memory computing with 0.3-V VDDmin. IEEE J. Solid-State Circuits 2018, 53, 1006–1015. [Google Scholar] [CrossRef]
- Wang, J.; Wang, X.; Eckert, C.; Subramaniyan, A.; Das, R.; Blaauw, D.; Sylvester, D. A 28-nm compute SRAM with bit-serial logic/arithmetic operations for programmable in-memory vector computing. IEEE J. Solid-State Circuits 2020, 55, 76–86. [Google Scholar] [CrossRef]
- Chih, Y.-D.; Lee, P.-H.; Fujiwara, H.; Shih, Y.-C.; Lee, C.-F.; Naous, R.; Chen, Y.-L.; Lo, C.-P.; Lu, C.-H.; Mori, H.; et al. An 89TOPS/W and 16.3TOPS/mm2 all-digital SRAM-based full-precision compute-in memory macro in 22nm for machine-learning edge applications. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 13–22 February 2021; pp. 252–253. [Google Scholar]
- Kim, J.-H.; Lee, J.; Lee, J.; Heo, J.; Kim, J.-Y. Z-PIM: A sparsity-aware processing-in-memory architecture with fully variable weight bit-precision for energy-efficient deep neural networks. IEEE J. Solid-State Circuits 2021, 56, 1093–1104. [Google Scholar] [CrossRef]
- Lin, Z.; Zhu, Z.; Zhan, H.; Peng, C.; Wu, X.; Yao, Y.; Niu, J.; Chen, J. Two-direction in-memory computing based on 10T SRAM with horizontal and vertical decoupled read ports. IEEE J. Solid-State Circuits 2021, 56, 2832–2844. [Google Scholar] [CrossRef]
- Wang, C.-C.; Tolentino, L.K.S.; Huang, C.-Y.; Yeh, C.-H. A 40-nm CMOS multifunctional computing-in-memory (CIM) using single-ended disturb-free 7T 1-Kb SRAM. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2021, 29, 2172–2185. [Google Scholar] [CrossRef]
- Kim, H.; Mu, J.; Yu, C.; Kim, T.T.-H.; Kim, B. A 1-16b reconfigurable 80Kb 7T SRAM-based digital near-memory computing macro for processing neural networks. IEEE Trans. Circuits Syst.-I Regul. Pap. 2023, 70, 1580–1590. [Google Scholar] [CrossRef]
- Lin, Z.; Tong, Z.; Wang, F.; Zhang, J.; Zhao, Y.; Sun, P.; Xu, T.; Zhang, C.; Li, X.; Wu, X.; et al. In situ storing 8T SRAM-CIM macro for full-array Boolean logic and copy operations. IEEE J. Solid-State Circuits 2023, 58, 1472–1486. [Google Scholar] [CrossRef]
- Zhang, J.; Wang, Z.; Verma, N. In-memory computation of a machine-learning classifier in a standard 6T SRAM array. IEEE J. Solid-State Circuits 2017, 52, 915–924. [Google Scholar] [CrossRef]
- Kang, M.; Gonugondla, S.K.; Patil, A.; Shanbhag, N.R. A multi-functional in-memory inference processor using a standard 6T SRAM array. IEEE J. Solid-State Circuits 2018, 53, 642–655. [Google Scholar] [CrossRef]
- Biswas, A.; Chandrakasan, A.P. CONV-SRAM: An energy-efficient SRAM with in-memory dot-product computation for low-power convolutional neural networks. IEEE J. Solid-State Circuits 2019, 54, 217–230. [Google Scholar] [CrossRef]
- Yang, J.; Kong, Y.; Wang, Z.; Liu, Y.; Wang, B.; Yin, S.; Shi, L. Sandwich-RAM: An energy-efficient in-memory BWN architecture with pulse-width modulation. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17–21 February 2019; pp. 394–395. [Google Scholar]
- Si, X.; Khwa, W.-S.; Chen, J.-J.; Li, J.-F.; Sun, X.; Liu, R.; Yu, S.; Yamauchi, H.; Li, Q.; Chang, M.F. A dual-split 6T SRAM-based computing-in-memory unit-macro with fully parallel product-sum operation for binarized DNN edge processors. IEEE Trans. Circuits Syst.-I Regul. Pap. 2019, 66, 4172–4185. [Google Scholar] [CrossRef]
- Si, X.; Chen, J.-J.; Tu, Y.-N.; Huang, W.-H.; Wang, J.-H.; Chiu, Y.-C.; Wei, W.C.; Wu, S.Y.; Sun, X.; Liu, R.; et al. A twin-8T SRAM computation-in-memory unit-macro for multibit CNN-based AI edge processors. IEEE J. Solid-State Circuits 2020, 55, 189–202. [Google Scholar] [CrossRef]
- Yin, S.; Jiang, Z.; Seo, J.-S.; Seok, M. XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks. IEEE J. Solid-State Circuits 2020, 55, 1733–1743. [Google Scholar] [CrossRef]
- Jiang, Z.; Yin, S.; Seo, J.-S.; Seok, M. C3SRAM: An in-memory-computing SRAM macro based on robust capacitive coupling computing mechanism. IEEE J. Solid-State Circuits 2020, 55, 1888–1897. [Google Scholar] [CrossRef]
- Sinangil, M.E.; Erbagci, B.; Naous, R.; Akarvardar, K.; Sun, D.; Khwa, W.-S.; Liao, H.J.; Wang, Y.; Chang, J. A 7-nm compute-in-memory SRAM macro supporting multi-bit input, weight and output and achieving 351 TOPS/W and 372.4 GOPS. IEEE J. Solid-State Circuits 2021, 56, 188–198. [Google Scholar] [CrossRef]
- Chen, Z.; Yu, Z.; Jin, Q.; He, Y.; Wang, J.; Lin, S.; Li, D.; Wang, Y.; Yang, K. CAP-RAM: A charge-domain in-memory computing 6T-SRAM for accurate and precision-programmable CNN inference. IEEE J. Solid-State Circuits 2021, 56, 1924–1935. [Google Scholar] [CrossRef]
- Jain, S.; Lin, L.; Alioto, M. ±CIM SRAM for signed in-memory broad-purpose computing from DSP to neural processing. IEEE J. Solid-State Circuits 2021, 56, 2981–2992. [Google Scholar] [CrossRef]
- Su, J.-W.; Si, X.; Chou, Y.-C.; Chang, T.-W.; Huang, W.-H.; Tu, Y.-N.; Liu, R.; Lu, P.J.; Liu, T.W.; Wang, J.H.; et al. Two-way transpose multibit 6T SRAM computing-in-memory macro for inference-training AI edge chips. IEEE J. Solid-State Circuits 2022, 57, 609–624. [Google Scholar] [CrossRef]
- Kim, S.; Lee, W.; Kim, S.; Park, S.; Jeon, D. An in-memory computing SRAM macro for memory-augmented neural network. IEEE Trans. Circuits Syst.-II Express Briefs 2022, 69, 1687–1691. [Google Scholar] [CrossRef]
- Qiao, X.; Song, J.; Tang, X.; Luo, H.; Pan, N.; Cui, X.; Wang, R.; Wang, Y. A 65 nm 73 kb SRAM-based computing-in-memory macro with dynamic-sparsity controlling. IEEE Trans. Circuits Syst.-II Express Briefs 2022, 69, 2977–2981. [Google Scholar] [CrossRef]
- Yu, C.; Yoo, T.; Chai, K.T.C.; Kim, T.T.-H.; Kim, B. A 65-nm 8T SRAM compute-in-memory macro with column ADCs for processing neural networks. IEEE J. Solid-State Circuits 2022, 57, 3466–3476. [Google Scholar] [CrossRef]
- Wang, H.; Liu, R.; Dorrance, R.; Dasalukunte, D.; Lake, D.; Carlton, B. A charge domain SRAM compute-in-memory macro with C-2C ladder-based 8-bit MAC unit in 22-nm FinFET process for edge inference. IEEE J. Solid-State Circuits 2023, 58, 1037–1050. [Google Scholar] [CrossRef]
- Cheon, S.; Lee, K.; Park, J. A 2941-TOPS/W charge-domain 10T SRAM compute-in-memory for ternary neural network. IEEE Trans. Circuits Syst.-I Regul. Pap. 2023, 70, 2085–2097. [Google Scholar] [CrossRef]
- Xiao, K.; Cui, X.; Qiao, X.; Song, J.; Luo, H.; Wang, X.; Wang, Y. A 28nm 32Kb SRAM computing-in-memory macro with hierarchical capacity attenuator and input sparsity-optimized ADC for 4b Mac operation. IEEE Trans. Circuits Syst.-II Express Briefs 2023, 70, 1816–1820. [Google Scholar] [CrossRef]
- Sehgal, R.; Thareja, T.; Xie, S.; Ni, C.; Kulkarni, J.P. A bit-serial, compute-in-SRAM design featuring hybrid-integrating ADCs and input dependent binary scaled precharge eliminating DACs for energy-efficient DNN inference. IEEE J. Solid-State Circuits 2023, 58, 2109–2124. [Google Scholar] [CrossRef]
- Wu, P.-C.; Su, J.-W.; Hong, L.-Y.; Ren, J.-S.; Chien, C.-H.; Chen, H.-Y.; Ke, C.E.; Hsiao, H.M.; Li, S.H.; Sheu, S.S. A floating-point 6T SRAM in-memory-compute macro using hybrid-domain structure for advanced AI edge chips. IEEE J. Solid-State Circuits 2024, 59, 196–207. [Google Scholar] [CrossRef]
- Xie, S.; Ni, C.; Sayal, A.; Jain, P.; Hamzaoglu, F.; Kulkarni, J.P. eDRAM-CIM: Reconfigurable charge domain compute-in-memory design with embedded dynamic random access memory array realizing adaptive data converters. IEEE J. Solid-State Circuits 2024, 59, 1950–1961. [Google Scholar] [CrossRef]
- Chen, W.-H.; Li, K.-X.; Lin, W.-Y.; Hsu, K.-H.; Li, P.-Y.; Yang, C.-H.; Xue, C.X.; Yang, E.Y.; Chen, Y.K.; Chang, Y.S.; et al. A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 11–15 February 2018; pp. 494–495. [Google Scholar]
- Bocquet, M.; Hirztlin, T.; Klein, J.-O.; Nowak, E.; Vianello, E.; Portal, J.-M.; Querlioz, D. In-memory and error-immune differential RRAM implementation of binarized deep neural networks. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 1–5 December 2018; pp. 20.6.1–20.6.4. [Google Scholar]
- Xue, C.-X.; Chen, W.-H.; Liu, J.-S.; Li, J.-F.; Lin, W.-Y.; Lin, W.-E.; Wang, J.H.; Wei, W.C.; Huang, T.Y.; Chang, T.W.; et al. Embedded 1-Mb ReRAM-based computing-in-memory macro with multibit input and weight for CNN-based AI edge processors. IEEE J. Solid-State Circuits 2020, 55, 203–215. [Google Scholar] [CrossRef]
- Zha, Y.; Nowak, E.; Li, J. Liquid silicon: A nonvolatile fully programmable processing-in-memory processor with monolithically integrated ReRAM. IEEE J. Solid-State Circuits 2020, 55, 908–919. [Google Scholar] [CrossRef]
- Xue, C.-X.; Hung, J.-M.; Kao, H.-Y.; Huang, Y.-H.; Huang, S.-P.; Chang, F.-C.; Chen, P.; Liu, T.W.; Jhang, C.J.; Su, C.I.; et al. A 22nm 4Mb 8b-precision ReRAM computing-in-memory macro with 11.91 to 195.7TOPS/W for tiny AI edge devices. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 13–22 February 2021; pp. 246–247. [Google Scholar]
- Yoon, J.-H.; Chang, M.; Khwa, W.-S.; Chih, Y.-D.; Chang, M.-F.; Raychowdhury, A. A 40-nm 118.44-TOPS/W voltage-sensing compute-in-memory RRAM macro with write verification and multi-bit encoding. IEEE J. Solid-State Circuits 2022, 57, 845–857. [Google Scholar] [CrossRef]
- Li, W.; Sun, X.; Huang, S.; Jiang, H.; Yu, S. A 40-nm MLC-RRAM compute-in-memory macro with sparsity control, on-chip write-verify, and temperature-independent ADC references. IEEE J. Solid-State Circuits 2022, 57, 2868–2877. [Google Scholar] [CrossRef]
- Ye, W.; Wang, L.; Zhou, Z.; An, J.; Li, W.; Gao, H.; Li, Z.; Yue, J.; Hu, H.; Xu, X.; et al. A 28-nm RRAM computing-in-memory macro using weighted hybrid 2T1R cell array and reference subtracting sense amplifier for AI edge inference. IEEE J. Solid-State Circuits 2023, 58, 2839–2850. [Google Scholar] [CrossRef]
- Khaddam-Aljameh, R.; Stanisavljevic, M.; Mas, J.F.; Karunaratne, G.; Brändli, M.; Liu, F.; Singh, A.; Müller, S.M.; Egger, U.; Petropoulos, A.; et al. HERMES-core—A 1.59-TOPS/mm2 PCM on 14-nm CMOS in-memory compute core using 300-ps/LSB linearized CCO-based ADCs. IEEE J. Solid-State Circuits 2022, 57, 1027–1038. [Google Scholar] [CrossRef]
- You, D.-Q.; Chiu, Y.-C.; Khwa, W.-S.; Li, C.-Y.; Hsieh, F.-L.; Chien, Y.-A.; Lo, C.C.; Liu, R.S.; Hsieh, C.C.; Tang, K.T.; et al. An 8b-precision 8-Mb STT-MRAM near-memory-compute macro using weight-feature and input-sparsity aware schemes for energy-efficient edge AI devices. IEEE J. Solid-State Circuits 2024, 59, 219–230. [Google Scholar] [CrossRef]
- Hong, Q.; Man, S.; Sun, J.; Du, S.; Zhang, J. Programmable in-memory computing circuit for solving combinatorial matrix operation in one step. IEEE Trans. Circuits Syst.-I Regul. Pap. 2023, 70, 2916–2928. [Google Scholar] [CrossRef]
- Nail, C.; Molas, G.; Blaise, P.; Piccolboni, G.; Sklenard, B.; Cagli, C.; Bernard, M.; Roule, A.; Azzaz, M.; Vianello, E.; et al. Understanding RRAM endurance, retention and window margin trade-off using experimental results and simulations. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 3–7 December 2016; pp. 4.5.1–4.5.4. [Google Scholar]
- Mittal, S.; Vetter, J.S.; Li, D. A survey of architectural approaches for managing embedded DRAM and non-volatile on-chip caches. IEEE Trans. Parallel Distrib. Syst. 2015, 26, 1524–1537. [Google Scholar] [CrossRef]
- Dou, C.-M.; Chen, W.-H.; Xue, C.-X.; Lin, W.-Y.; Lin, W.-E.; Li, J.-Y.; Lin, H.T.; Chang, M.F. Nonvolatile circuits-devices interaction for memory, logic and artificial intelligence. In Proceedings of the IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 171–172. [Google Scholar]
- Zhou, H.; Li, S.; Ang, K.-W.; Zhang, Y.-W. Recent advances in in-memory computing: Exploring memristor and memtransistor arrays with 2D materials. Nano-Micro Lett. 2024, 16, 121. [Google Scholar] [CrossRef]
- Chun, K.C.; Jain, P.; Lee, J.H.; Kim, C.H. A 3T gain cell embedded DRAM utilizing preferential boosting for high density and low power on-die caches. IEEE J. Solid-State Circuits 2011, 46, 1495–1505. [Google Scholar] [CrossRef]
- Chun, K.C.; Jain, P.; Kim, T.-H.; Kim, C.H. A 667 MHz logic-compatible embedded DRAM featuring an asymmetric 2T gain cell for high speed on-die caches. IEEE J. Solid-State Circuits 2012, 47, 547–559. [Google Scholar] [CrossRef]
- Chun, K.C.; Zhang, W.; Jain, P.; Kim, C.H. A 2T1C embedded DRAM macro with no boosted supplies featuring a 7T SRAM based repair and a cell storage monitor. IEEE J. Solid-State Circuits 2012, 47, 2517–2526. [Google Scholar] [CrossRef]
- Zhang, W.; Chun, K.C.; Kim, C.H. A write-back-free 2T1D embedded DRAM with local voltage sensing and a dual-row-access low power mode. IEEE Trans. Circuits Syst.-I Regul. Pap. 2013, 60, 2030–2038. [Google Scholar] [CrossRef]
- Cheng, W.; Das, H.; Chung, Y. A logic-compatible embedded DRAM utilizing common-body toggled capacitive cross-talk. J. Semicond. Technol. Sci. 2016, 16, 781–792. [Google Scholar] [CrossRef]
- Manisankar, S.; Chung, Y. P-channel logic 2 T eDRAM macro with high retention bit architecture. Int. J. Circuit Theory Appl. 2018, 46, 1416–1425. [Google Scholar] [CrossRef]
- Chen, Z.; Chen, X.; Gu, J. A 65nm 3T dynamic analog RAM-based computing-in-memory macro and CNN accelerator with retention enhancement, adaptive analog sparsity and 44TOPS/W system energy efficiency. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 13–22 February 2021; pp. 240–241. [Google Scholar]
- Yu, C.; Yoo, T.; Kim, H.; Kim, T.T.-H.; Chuan, K.C.T.; Kim, B. A logic-compatible eDRAM compute-in-memory with embedded ADCs for processing neural networks. IEEE Trans. Circuits Syst.-I Regul. Pap. 2021, 68, 667–679. [Google Scholar] [CrossRef]
- Ha, S.; Kim, S.; Han, D.; Um, S.; Yoo, H.-J. A 36.2 dB high SNR and PVT/leakage-robust eDRAM computing-in-memory macro with segmented BL and reference cell array. IEEE Trans. Circuits Syst.-II Express Briefs 2022, 69, 2433–2437. [Google Scholar] [CrossRef]
- Xie, S.; Ni, C.; Jain, P.; Hamzaoglu, F.; Kulkarni, J.P. Gain-cell CIM: Leakage and bitline swing aware 2T1C gain-cell eDRAM compute in memory design with bitline precharge DACs and compact Schmitt trigger ADCs. In Proceedings of the IEEE Symposium on VLSI Technology and Circuits, Honolulu, HI, USA, 13–17 June 2022; pp. 112–113. [Google Scholar]
- Kim, S.; Li, Z.; Um, S.; Jo, W.; Ha, S.; Lee, J.; Kim, S.; Han, D.; Yoo, H.J. DynaPlasia: An eDRAM in-memory computing-based reconfigurable spatial accelerator with triple-mode cell. IEEE J. Solid-State Circuits 2024, 59, 102–115. [Google Scholar] [CrossRef]
- Kim, S.; Um, S.; Jo, W.; Lee, J.; Ha, S.; Li, Z.; Yoo, H.J. Scaling-CIM: eDRAM in-memory-computing accelerator with dynamic-scaling ADC and adaptive analog operation. IEEE J. Solid-State Circuits 2024, 59, 2694–2705. [Google Scholar] [CrossRef]
- Qiao, X.; Yang, Y.; Xue, C.; He, Y.; Cui, X.; Jia, S.; Wang, Y. An eDRAM-based computing-in-memory macro with full-valid-storage and channel-wise-parallelism for depthwise neural network. IEEE Trans. Circuits Syst.-II Express Briefs 2024, 71, 2539–2543. [Google Scholar] [CrossRef]
- He, Y.; Fan, S.; Li, X.; Lei, L.; Jia, W.; Tang, C.; Li, Y.; Huang, Z.; Du, Z.; Yue, J.; et al. A 28nm 2.4Mb/mm2 6.9-16.3TOPS/mm2 eDRAM-LUT-based digital-computing-in-memory macro with in-memory encoding and refreshing. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 18–22 February 2024; pp. 578–579. [Google Scholar]
- Simons, T.; Lee, D.-J. A review of binarized neural networks. Electronics 2019, 8, 661. [Google Scholar] [CrossRef]
[54] | [55] | [56] | [57] | [61] | This Work | |
---|---|---|---|---|---|---|
Technology | 65 nm | 65 nm | 28 nm | 65 nm | 28 nm | 45 nm |
Cell structure | 3T1C | 4T2C | 2T1C | 2T1C | 3T | 3T |
Bit cell area (1) | 389 F2 | 256 F2 | 413 F2 | N/A | 185 F2 | 127 F2 |
Array size (bit) | 4 × 64 × 32 | 128 × 128 | 144 × 128 | 32-k | 256 × 16 × 10 | 2 × 128 × 128 |
Supported functions | MAC | MAC | MAC | MAV | DRAM MAC | DRAM Logic Arithmetic XAC |
Computing method | Analog | Analog | Analog | Analog | Digital | Digital |
Operating voltage | 0.85~1.1 V | 0.5/0.7 V | N/A | 1.0 V | 0.9~1.2 V | 0.8~1.1 V |
Nominal frequency (MHz) [Operation] | 105 [MAC] | 200 [MAC] | 250 [MAC] | N/A | 800 (@1V) [DRAM/MAC] | 241 [DRAM] 229 [Logic] 224 [Arithmetic] 292 [XAC] |
Throughput (GOPS) | N/A | 48 | N/A | 22~691 | 200 (2) | 150 (3) |
Energy efficiency (TOPS/W) | 102.2~216.8 | 17.8~552.5 | 81.5~115 | 7.4~236 | 8~19.7 (2) | 24.6 (3),(4) |
Accuracy (%) | 90.6/91.2 | 82.8/96.78 (5) | 91.52 | 92.02/99.84 | N/A | 100 (5) |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Kim, T.; Chung, Y. Logic-Compatible Embedded DRAM Architecture for Multifunctional Digital Storage and Compute-in-Memory. Appl. Sci. 2024, 14, 9749. https://doi.org/10.3390/app14219749
Kim T, Chung Y. Logic-Compatible Embedded DRAM Architecture for Multifunctional Digital Storage and Compute-in-Memory. Applied Sciences. 2024; 14(21):9749. https://doi.org/10.3390/app14219749
Chicago/Turabian StyleKim, Taehoon, and Yeonbae Chung. 2024. "Logic-Compatible Embedded DRAM Architecture for Multifunctional Digital Storage and Compute-in-Memory" Applied Sciences 14, no. 21: 9749. https://doi.org/10.3390/app14219749
APA StyleKim, T., & Chung, Y. (2024). Logic-Compatible Embedded DRAM Architecture for Multifunctional Digital Storage and Compute-in-Memory. Applied Sciences, 14(21), 9749. https://doi.org/10.3390/app14219749