Next Article in Journal
Growth and Brilliant Photo-Emission of Crystalline Hexagonal Column of Alq3 Microwires
Previous Article in Journal
Characteristics of the Arcing Plasma Formation Effect in Spark-Assisted Chemical Engraving of Glass, Based on Machine Vision
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

1
State Key Laboratory of ASIC and System, Fudan University, Shanghai 200433, China
2
Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Science, Beijing 100029, China
3
Process Application, Applied Materials, Inc., Gloucester, MA 01930, USA
*
Authors to whom correspondence should be addressed.
Materials 2018, 11(4), 471; https://doi.org/10.3390/ma11040471
Submission received: 4 March 2018 / Revised: 17 March 2018 / Accepted: 21 March 2018 / Published: 22 March 2018

Abstract

:
Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET) require further hole Schottky barrier height (SBH) regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B) and aluminum (Al) in combination with microwave annealing (MWA). By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

1. Introduction

Schottky-barrier metal-oxide semiconductor field-effect transistors (SB-MOSFETs) [1], also known as metallic source/drain (S/D) MOSFETs (MSD-MOSFETs) [2], have emerged as potential candidates for replacing the conventional p–n junction in an S/D contact. SB-MOSFETs exhibit (1) lower parasitic resistance and capacitance; (2) a shallower junction depth; and (3) a shorter response time than conventional MOSFETs [1,3]. However, to further improve their performance, the effective Schottky barrier height (SBH) of SB-MOSFETs must be reduced to below 100 meV to overcome problems such as low drive currents and high contact resistance during scaling [4,5,6]. To meet this objective, various metal silicides have been introduced, including nickel silicide because of its low formation temperature and limited Si consumption [7]. Dopant segregation (DS) [8] schemes aiming at SBH interfacial property regulation have also been implemented, including the use of silicide as a diffusion source (SADS) [7,9,10], silicide-induced dopant segregation (SIDS) [7,11], and other modified schemes [12].
For n-channel SB-MOSFET S/D contacts, an electron SBH (φbn) of 0.07 eV has been achieved in NiSi/p-Si contacts via arsenic (As) DS [7,11]. Other dopants, such as sulfur [13], selenium [14], and antimony [15], have also been employed for effective φbn tuning using various mechanisms. For p-channel SB-MOSFET S/D contacts, the extreme hole SBH (φbp) achieved remains 0.11 eV in NiSi/n-Si contacts via boron (B) DS [7,12]. Because of the solubility limit of B in silicon, there is little room to further exploit the capacity for SBH regulation using mono-implantation of B [11]. It has been reported that aluminum (Al) DS can reduce the φbp value to 0.11 eV in NiSi/p-Si contacts [16,17,18] and that indium DS can reduce this value to 0.16 eV [19]. According to Shannon, a p-type dopant could also be used to tune φbp in an n-type substrate and vice versa [20]. Hence, Al is an ideal alternative for introduction into a p-channel metal S/D to achieve further φbp reduction.
The microwave annealing (MWA) process has gradually been accepted as an alternative annealing method because of its various beneficial properties, such as selective annealing [21], reduced diffusion [22], and higher activation of the dopant at a relatively lower temperature [23] compared with conventional thermal annealing methods, such as furnace annealing and rapid thermal annealing (RTA). The capacity toward effective SBH regulation in a DS NiSi/Si contact was improved when using 600-s MWA instead of 600-s RTA at a lower temperature [11]. However, to improve the competitiveness of MWA for SBH tuning, the duration of MWA should be reduced to achieve further reduction of the thermal budget. Previous works have shown that microwave absorption can be enhanced in silicon by using heavier ions (B/As) or Ge/Si pre-amorphization implantation [24], which would lead to further defect generation [23]. Therefore, a feasible strategy to enhance the absorption of microwaves is to increase the defect density by implanting an additional dopant, for which Al is an ideal candidate.
In this work, we propose a novel solution to achieve further effective SBH tuning and MWA absorption enhancement by implementing dual implantation of B and Al with varying implantation energies. The SIDS method was used for further thermal budget control [7,11], and the corresponding SBH regulation mechanisms were investigated.

2. Materials and Methods

Four-inch n-type silicon wafers (100) with resistivity of 1–10 Ω·cm were used for the device fabrication. Following the RCA clean to remove any contaminants, a 200-nm-thick SiO2 isolation layer was grown on each substrate using low-pressure chemical vapor deposition. The active regions were defined using 75-μm-radius circular patterns. B with an energy of 1 keV and Al with an energy ranging from 2 to 10 keV were sequentially implanted into the substrates at equal doses of 1 × 1015 cm−2 with a Varian High Current Implanter (Applied Materials, Inc., Gloucester, MA, USA). The detailed implantation conditions are listed in Table 1. Next, an HF dip was used to remove the native oxide layer, and a 40-nm-thick Ni layer was sputter-deposited onto the surface. The wafers were then sliced into square samples with dimensions of 22 mm × 22 mm and divided into four groups named N1, N2, N3, and N4 according to their implantation conditions. MWA was performed in an AXOM-200 (DSG Technologies, Inc., Santa Clara, CA, USA) octagonal MWA chamber at 5.8 GHz. Each sample was separately heated in an N2 atmosphere for 60 s at various MWA power conditions (1120, 1750, 2590, 2800, and 3500 W). The susceptor-assisted method [22,25,26] was employed to aid the heating of the substrate during the MWA process. After the annealing process, residual Ni was removed by immersing the samples in a 2:1 H2SO4:H2O2 solution at a constant temperature of 120 °C for 600 s.

3. Results and Discussion

To characterize the Schottky diodes, the current–voltage (I–V) and capacitance–voltage (C–V) methods were both implemented. Using standard C–V measurements for SBH extraction [9,11], the C–V curves were converted into 1/C2−V curves, where the intercept and slope of the linear fit were used to determine the SBH of the diodes [27,28]. In extracting the SBH for an n-type substrate, the SBH is denoted as φbn. Because φbn + φbp = 1.12 eV, an increase in φbn means a decrease in φbp and vice versa. Figure 1 presents the I–V and 1/C2−V characteristics classified by implantation conditions. The extracted φbn values are listed in Table 1 and depicted in Figure 2. As shown in Figure 1a–c, a monolithic trend of decreasing reverse currents with increasing MWA power was observed, which is similar to the trend observed in our previous research [11]. This tendency indicates a positive correlation between the SBH and microwave power and that more thorough activation and segregation of dopants likely occurs under higher-power microwave radiation. Moreover, the apparent reduction of the reverse currents in the samples with Al implantation suggests that the dual-implanted diodes exhibited an improved ability toward φbn elevation compared with N1, which is in agreement with the SBH results presented in Figure 2. The high values of φbn beyond 1.02 eV obtained for the dual-implanted specimens surpass extreme values in the literature obtained using single B implantation [7,12]. In other words, we achieved sub-0.1 eV φbp values via dual implantation for a NiSi/n-Si contact, which is ideal for a p-channel SB-MOSFET. This phenomenon can be attributed to the segregation of Al at the silicide/substrate interface, which enables the saturation limits of SBH regulation by B implantation to be overcome, such that the limit of dipoles generation at the interface is confined by the solubility of B in silicon. Further details of this regulation scheme will be discussed in the following sections.
Additionally, an extended range of the rectification ratio [16] for the N2 samples was observed, as shown in Figure 1a, whereas the range of the N4 diodes was lower. This phenomenon is consistent with the 1/C2−V characteristics, indicating that φbn increased to various degrees upon increasing the MWA power (from 0.73 to 1.05 eV for N2 and from 0.93 to 1.00 eV for N4). As shown in Figure 2, the effective SBH curves of the dual-implanted diodes converged below 2590 W and diverged again above this value. For lower microwave power (1120 W), the samples with higher Al implantation energy exhibited reduced φbn tuning ability than those with lower implantation energy. At higher MWA power (3500 W), the opposite trend was observed. These results imply an inverse relationship between the Al implantation energy and φbn tuning ability. To further investigate this phenomenon, Raman spectra of the samples prepared using MWA powers of 1120 and 3500 W were obtained. As shown in Figure 3a,b, obvious NiSi peaks [11,29] were detected in all the selected samples, indicating conversion of the nickel silicides into monosilicides. As the silicides at both ends of the MWA power range were verified to be NiSi, it is reasonable to conclude that all the silicides of the diodes in that range were monosilicides. Therefore, the SBH tuning scheme of dual-implanted diodes shows no relation with the nickel silicide phase, thereby necessitating further investigations. Moreover, the peak annealing temperature during the MWA process was determined to be below 344 °C, which is far below the lowest record of 400 °C using conventional thermal annealing methods [30], even when accounting for measurement errors produced by the infrared pyrometer [11]. Previous studies have demonstrated that the lower annealing temperature of the MWA process results from its unique non-thermal effect, which reduces the bonding activation energy during the recombination process [23,31]. Thus, a non-thermal effect could play a crucial role in reducing the temperature during nickel silicide formation.
To further explore the SBH tuning mechanism of MWA dual implantation, secondary-ion mass spectroscopy (SIMS) was applied. Figure 4 presents the depth profiles of B and Al in the N1, N2, and N4 samples. The NiSi layer of the N1 samples (80 nm) was relatively thinner than that of the dual-implanted samples (90 nm). Based on the Raman results that the silicides have been confirmed as monosilicides, the thickness difference presumably arose from the occasional non-uniform deposition of Ni during the sputtering, which resulted in a rough interface after annealing. The distribution patterns of B and Al in Figure 4 are distinct, which can be attributed to the lower solubility of B in silicides [7], which resulted in a more protruding profile. In contrast, for Al, no obvious segregation peaks were detected at the NiSi/Si interface, which is similar to the observation by Sinha that the distribution curves tend to be more flattened with higher doses of Al, as the solubility of Al in NiSi (~6 × 1020 cm−3) is higher than that in Si (~2 × 1019 cm−3) [32]. As the dose of Al in our work (1 × 1015 cm−2) was even higher than that in Sinha’s work (2 × 1014 cm−2), Al presented a higher concentration at the interface, resulting in an even more covered segregation peak. The Al peak for the N4 samples at a depth of approximately 50 nm is assigned as the as-implanted Al peak in Si [33]. In addition, the long diffusion length in silicon is partly attributed to the diffusivity enhancement of Al by extrinsic B doping [34]. The disparity of the peak concentration at the interface between the dopants was credited to the solubility of B in Si exceeding that of Al [35].
Furthermore, when examining the samples prepared with an MWA power of 1120 W, a complex pattern of the concentrations of B and Al at the interface was observed. Compared with N1, N4 had a higher concentration of B at the interface, suggesting improvement of the segregation of B with the additional Al implantation. Comparison of N1 and N2 revealed that the accumulated concentration of both dopants at the interface was not sufficiently high to implement effective SBH tuning, with the φbn value remaining at 0.73 eV, which was same as the original SBH result of NiSi/Si contact [7]. Potential mechanisms driving this behavior need to be investigated. First-principles calculations indicate that dopants’ substitution of Si atoms approximately within the first Si monolayer from the interface of NiSi/Si could stimulate dipoles for band bending and SBH regulation [7,11,36]. Therefore, this variation may be related to the activation of dopants at the interface. Considering the implantation energy difference of Al, we believe various degrees of amorphization may lead to different levels of dopant activation in both mono- and dual-implanted diodes. Compared with the N2 samples with the implantation energy of 2 keV, the N4 samples were characterized by heavier and deeper amorphous regions because of the higher implantation energy of Al at 10 keV, which produced a projected range of approximately 20 nm [37]. The mean projected range can also be determined from the location of the first crest of Al in Figure 4, which appeared at the same position as the as-implanted Al peak. Given the deeper amorphous region produced by the higher implantation energy of Al, where strong rotation of dipoles occurs in response to the alternating electromagnetic field, the dielectric polarization loss effect was greatly induced [23], thereby enhancing absorption of microwaves during the MWA process. Combined with the intensified non-thermal effect reducing the dopant activation energy, the dopants were activated to a large extent. In contrast, for the N2 sample, the projected range of implanted Al with an implantation energy of 2 keV remained the same as that of implanted B with an implantation energy of 1 keV (6 nm) [37]. This shallower amorphous layer was rapidly consumed during the formation of nickel silicide. It has been reported that both the dielectric polarization loss effect and non-thermal effect vanish in silicon after the defects are fully repaired [23]. Therefore, sufficient microwave absorption could not be induced in N2 to enable the activation of the dual dopants, resulting in an insignificant non-thermal effect. Figure 4 also shows that the peak concentrations of B in both N1 and N2 were far below the saturation point.
A reversed correlation must be clarified when the MWA power reached 3500 W. As shown in Figure 4, higher concentrations of B and Al were segregated at the interface for N4 than for N2, even resulting in a narrower width of the segregated region of B. However, N2 showed better regulation toward φbn elevation, achieving a value of 1.05 eV, which is 50-meV higher than that obtained for N4. To obtain a better understanding of the aforementioned reversed correlation between φbn and the Al implantation energy, the N2 sample prepared using an MWA power of 2590 W was included for comparison. Except for being inadequately activated in the N2 samples prepared using MWA powers below 2590 W, no differences were observed in the distributions of B in the selected samples. However, an obvious discrepancy was observed between N2 and N4 as shown in Figure 4: a higher implantation energy of Al resulted in a higher Al concentration at the interface accompanied by a higher density of Al remaining in the NiSi film. Previous studies have indicated that Al has a dual-barrier tuning effect [18,38], including reducing φbn when incorporated with nickel silicide [39,40,41] and reducing φbp at NiSi/Si interfaces. It has been reported that the incorporation of Al in NiSi could reduce the metal work function of NiSi by up to 400 meV [39]. This finding was further confirmed by implanting carbon before Al combined with DS methods, which suppressed the diffusion of Al and sharpened its φbn reduction effect [38]. Thus, we attribute the aforementioned reversed tendency of SBH tuning with Al implantation energy to the different Al contents in NiSi, which inordinately affected the effective elevation of the SBH.
Specific details about the SBH regulation schemes of additional implanted Al are presented in Figure 5. First, for MWA powers under 2950 W as shown in Figure 5, because Al was already saturated at the interface, no further concentration enhancement could be achieved by further increasing the power. Counterbalanced by the φbn reduction from Al in NiSi, Al showed weak control over φbn elevation. Effective SBH tuning is primarily affected by activated B at the interface. In addition, a higher implantation energy of Al also contributed to stronger activation and segregation of B for a deeper amorphous region. Thus, N4 showed better regulation toward effective φbn elevation than N2. Second, as the MWA power increased above 2950 W, as shown in Figure 5, B was adequately activated and segregated such that increasing the MWA power alone could not result in remarkable improvement. Combined with the highly segregated B, the φbn elevation capacity of Al started emerging. For the N2 sample, a φbn of 1.05 eV was achieved. However, although the tendency of draining Al in NiSi with increasing MWA power was observed in both N2 and N4, the effect of φbn elevation of Al remained insufficiently intense to overcome the φbn reduction effect in N4. As observed in Figure 4, compared with N2, substantial accumulation of Al still occurred above the interface in N4 after diffusion with promoted microwave radiation, which presumably affected SBH regulation [42]. In our case, with an MWA power of 3500 W, the calculated dose of Al from 50 nm above the interface and to that varied from 3.92 × 1013 cm−2 (N2) to 1.33 × 1014 cm−2 (N4) depending on the Al implantation energy of the device. This difference most likely resulted in an effective reduction of the work function of N4 for NiSi. Thus, an inconspicuous effect of φbn elevation was observed for N4 despite the higher concentration of Al at the interface.
Therefore, taking the two stages into consideration, the trade-off between the implantation energy and MWA power was attributed to the contradiction between the reduction and elevation of φbn of Al as well as that between the concentration of Al remaining in NiSi and that accumulated at the NiSi/Si interface. To overcome this contradiction and achieve higher φbn with lower MWA power, a thinner film of silicide [16] or a lower dose of Al [18] could be employed to sharpen the segregation peak at the NiSi/Si interface in future applications.

4. Conclusions

By implementing B and Al dual implantation, we demonstrate a potential solution for further effective φbp reduction to sub-0.1 eV levels and MWA absorption enhancement in NiSi/n-Si contacts. We report the lowest φbp achieved thus far of 0.07 eV at 500 °C (3500 W) in a NiSi/n-Si contact and a φbp of 0.2 eV at sub-350 °C (1120 W). Compared with 600-s MWA using mono-implantation, a compressed microwave annealing duration of 60 s was also achieved via the dual-implantation scheme. The SBH tuning schemes of the dual-implanted diodes were investigated, and higher energy of Al appears to induce microwave absorption, thereby resulting in high dopant activation and segregation. In addition, we explored the trade-off between the implantation energy of Al and MWA power in dual-implanted diodes. The contradiction of the reduction of the SBH of Al in NiSi and its elevation at NiSi/Si interfaces could potentially be solved by applying thinner silicides or a lower dose of Al. Benefiting from the unique properties arising from MWA, various combinations of dopants and triple-, quad-, or multi-implantation schemes accompanied by a lower thermal budget could be developed to achieve further SBH regulation.

Acknowledgments

This work was supported by the National Natural Science Foundation of China (Nos. 61474028, 61774042), Shanghai Municipal Natural Science Foundation (No. 17ZR1446500), National S and T Project 02 (No. 2013ZX02303-004), and the “First-Class Construction” project of Fudan University.

Author Contributions

Feng Sun and Dongping Wu conceived and designed the experiments; Feng Sun, Chen Li, Chaochao Fu, Xiangbiao Zhou, Jun Luo, and Wei Zou performed the experiments; Jun Luo and Wei Zou contributed sputtering and ion implantation equipment, respectively; Feng Sun and Zhi-Jun Qiu analyzed the data; all the authors wrote the paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Larson, J.M.; Snyder, J.P. Overview and Status of Metal Source/Drain Schottky Barrier MOSFET Technology. IEEE Trans. Electron Devices 2006, 53, 1048–1058. [Google Scholar] [CrossRef]
  2. Dubois, E.; Larrieu, G. Measurement of low Schottky barrier heights applied to metallic source/drain metal–oxide–semiconductor field effect transistors. J. Appl. Phys. 2004, 96, 729–737. [Google Scholar] [CrossRef]
  3. Fritze, M.; Chen, C.L.; Calawa, S.; Yost, D.; Wheeler, B.; Wyatt, P.; Keast, C.L.; Snyder, J.; Larson, J. High-speed Schottky-barrier pMOSFET with fT = 280 GHz. IEEE Electron Device Lett. 2004, 25, 220–222. [Google Scholar] [CrossRef]
  4. Larrieu, G.; Dubois, E.; Valentin, R.; Breil, N.; Danneville, F.; Dambrine, G.; Raskin, J.-P.; Pesant, J.C. Low temperature implementation of dopant-segregated band-edge metallic S/D junctions in thin-body SOI p-MOSFETs. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 147–150. [Google Scholar]
  5. Xiong, S.; King, T.J.; Bokor, J. A comparison study of symmetric ultrathin-body double-gate devices with metal source/drain and doped source/drain. IEEE Trans. Electron Devices 2005, 52, 1859–1867. [Google Scholar] [CrossRef]
  6. Knoch, J.; Zhang, M.; Mantl, S.; Appenzeller, J. On the performance of single-gated ultrathin-body SOI Schottky-barrier MOSFETs. IEEE Trans. Electron Devices 2006, 53, 1669–1674. [Google Scholar] [CrossRef] [Green Version]
  7. Qiu, Z.; Zhang, Z.; Östling, M.; Zhang, S. A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering. IEEE Trans. Electron Devices 2008, 55, 396–403. [Google Scholar] [CrossRef]
  8. Kinoshita, A.; Tsuchiya, Y.; Yagishita, A.; Uchida, K.; Koga, J. Solution for high-performance Schottky-source/drain MOSFETs: Schottky barrier height engineering with dopant segregation technique. In Proceedings of the Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2004; pp. 168–169. [Google Scholar] [CrossRef]
  9. Zhang, Z.; Qiu, Z.; Liu, R.; Östling, M.; Zhang, S.L. Schottky-barrier height tuning by means of ion implantation into preformed silicide films followed by drive-in anneal. IEEE Electron Device Lett. 2007, 28, 565–568. [Google Scholar] [CrossRef]
  10. Luo, J.; Qiu, Z.J.; Zhang, D.W.; Hellstrom, P.E.; Östling, M.; Zhang, S.L. Effects of carbon on schottky barrier heights of NiSi modified by dopant segregation. IEEE Electron Device Lett. 2009, 30, 608–610. [Google Scholar] [CrossRef]
  11. Fu, C.; Zhou, X.; Wang, Y.; Xu, P.; Xu, M.; Wu, D.; Luo, J.; Zhao, C.; Zhang, S.-L. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing. Materials 2016, 9, 315. [Google Scholar] [CrossRef] [PubMed]
  12. Deng, J.; Liu, Q.; Zhao, C.; Li, J.; Wang, W.; Chen, D.; Ye, T.; Luo, J. A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique. Vacuum 2014, 99, 225–227. [Google Scholar] [CrossRef]
  13. Wong, H.; Chan, L.; Samudra, G.; Yeo, Y. Effective Schottky Barrier Height Reduction Using Sulfur or Selenium at the NiSi/n-Si (100) Interface for Low Resistance Contacts. Interface 2007, 28, 2007–2009. [Google Scholar] [CrossRef]
  14. Wong, H.S.; Chan, L.; Samudra, G.; Yeo, Y.C. Low Schottky barrier height for silicides on n-type Si (100) by interfacial selenium segregation during silicidation. Appl. Phys. Lett. 2008, 93, 2006–2009. [Google Scholar] [CrossRef]
  15. Wong, H.-S.; Chan, L.; Samudra, G.; Yeo, Y.-C. Sub-0.1-eV effective Schottky-barrier height for NiSi on n-type Si (100) using antimony segregation. IEEE Electron Device Lett. 2007, 28, 703–705. [Google Scholar] [CrossRef]
  16. Sinha, M.; Chor, E.F.; Yeo, Y.-C. Tuning the Schottky barrier height of nickel silicide on p-silicon by aluminum segregation. Appl. Phys. Lett. 2008, 92, 222114. [Google Scholar] [CrossRef]
  17. Sinha, M.; Member, S.; Tek, R.; Lee, P. Aluminum Implant and Segregation for Strained p-FinFETs With Silicon—Germanium Source/Drain. IEEE Trans. Electron Devices 2010, 57, 1279–1286. [Google Scholar] [CrossRef]
  18. Koh, S.M.; Wang, X.; Thanigaivelan, T.; Henry, T.; Erokhin, Y.; Samudra, G.S.; Yeo, Y.C. Schottky barrier height tuning of silicides on p-type Si (100) by aluminum implantation and pulsed excimer laser anneal. J. Appl. Phys. 2011, 110. [Google Scholar] [CrossRef]
  19. Alptekin, E.; Ozturk, M.C. Tuning of the nickel silicide schottky barrier height on p-type silicon by indium implantation. IEEE Electron Device Lett. 2009, 30, 1272–1274. [Google Scholar] [CrossRef]
  20. Shannon, J.M. Control of Schottky barrier height using highly doped surface layers. Solid State Electron. 1976, 19, 537–543. [Google Scholar] [CrossRef]
  21. Kitchen, H.J.; Vallance, S.R.; Kennedy, J.L.; Tapia-Ruiz, N.; Carassiti, L.; Harrison, A.; Whittaker, A.G.; Drysdale, T.D.; Kingman, S.W.; Gregory, D.H. Modern microwave methods in solid-state inorganic materials chemistry: From fundamentals to manufacturing. Chem. Rev. 2013, 114, 1170–1206. [Google Scholar] [CrossRef] [PubMed]
  22. Xu, P.; Fu, C.; Hu, C.; Zhang, D.W.; Wu, D.; Luo, J.; Zhao, C.; Zhang, Z.B.; Zhang, S.L. Ultra-shallow junctions formed using microwave annealing. Appl. Phys. Lett. 2013, 102, 1–5. [Google Scholar] [CrossRef]
  23. Fu, C.; Wang, Y.; Xu, P.; Yue, L.; Sun, F.; Zhang, D.W.; Zhang, S.L.; Luo, J.; Zhao, C.; Wu, D. Understanding the microwave annealing of silicon. AIP Adv. 2017, 7, 1–8. [Google Scholar] [CrossRef]
  24. Alford, T.L.; Thompson, D.C.; Mayer, J.W.; Theodore, N.D. Dopant activation in ion implanted silicon by microwave annealing. J. Appl. Phys. 2009, 106. [Google Scholar] [CrossRef]
  25. Hu, C.; Xu, P.; Fu, C.; Zhu, Z.; Gao, X.; Jamshidi, A.; Noroozi, M.; Radamson, H.; Wu, D.; Zhang, S.L. Characterization of Ni(Si,Ge) films on epitaxial SiGe(100) formed by microwave annealing. Appl. Phys. Lett. 2012, 101. [Google Scholar] [CrossRef]
  26. Vemuri, R.N.P.; Gadre, M.J.; Theodore, N.D.; Chen, W.; Lau, S.S.; Alford, T.L. Susceptor assisted microwave annealing for recrystallization and dopant activation of arsenic-implanted silicon. J. Appl. Phys. 2011, 110, 34907. [Google Scholar] [CrossRef]
  27. Di Bartolomeo, A.; Luongo, G.; Giubileo, F.; Funicello, N.; Niu, G.; Schroeder, T.; Lisker, M.; Lupina, G. Hybrid graphene/silicon Schottky photodiode with intrinsic gating effect. 2D Mater. 2017, 4, 25075. [Google Scholar] [CrossRef]
  28. Luongo, G.; Giubileo, F.; Genovese, L.; Iemmo, L.; Martucciello, N.; Di Bartolomeo, A. I-V and C-V Characterization of a High-Responsivity Graphene/Silicon Photodiode with Embedded MOS Capacitor. Nanomaterials 2017, 7, 158. [Google Scholar] [CrossRef] [PubMed]
  29. Cichoň, S.; MacHáč, P.; Barda, B.; MacHovič, V.; Slepička, P. Raman study of Ni and Ni silicide contacts on 4H- and 6H-SiC. Thin Solid Films 2012, 520, 4378–4388. [Google Scholar] [CrossRef]
  30. Jiang, Y.L.; Agarwal, A.; Ru, G.P.; Cai, G.; Li, B.Z. Nickel silicide formation on shallow junctions. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. Atoms 2005, 237, 160–166. [Google Scholar] [CrossRef]
  31. Sivalingam, G.; Agarwal, N.; Madras, G. Kinetics of microwave-assisted polymerization of ϵ-caprolactone. J. Appl. Polym. Sci. 2004, 91, 1450–1456. [Google Scholar] [CrossRef]
  32. Richter, K.W.; Chandrasekaran, K.; Ipser, H. The Al–Ni–Si phase diagram. Part II: Phase equilibria between 33.3 and 66.7 at. % Ni. Intermetallics 2004, 12, 545–554. [Google Scholar] [CrossRef]
  33. Chen, L.J. Silicide Technology for Integrated Circuits; Iet: London, UK, 2004; Volume 5, ISBN 0863413528. [Google Scholar]
  34. Krause, O.; Ryssel, H.; Pichler, P. Determination of aluminum diffusion parameters in silicon. J. Appl. Phys. 2002, 91, 5645–5649. [Google Scholar] [CrossRef]
  35. Trumbore, F.A. Solid solubilities of impurity elements in germanium and silicon. Bell Labs Tech. J. 1960, 39, 205–233. [Google Scholar] [CrossRef]
  36. Yamauchi, T.; Nishi, Y.; Tsuchiya, Y.; Kinoshita, A.; Koga, J.; Kato, K. Novel doping technology for a 1 nm NiSi/Si junction with dipoles comforting Schottky (DCS) barrier. Tech. Dig. Int. Electron Devices Meet. IEDM 2007, 963–966. [Google Scholar] [CrossRef]
  37. Ziegler, J.F.; Biersack, J.P.; Ziegler, M.D. The Stopping and Range of Ions in Matter, SRIM, 2013. Available online: http//www.srim.org (accessed on 21 March 2018).
  38. Zhou, Q.; Koh, S.M.; Thanigaivelan, T.; Henry, T.; Yeo, Y.C. Contact resistance reduction for strained N-MOSFETs with silicon-carbon source/drain utilizing aluminum ion implant and aluminum profile engineering. IEEE Trans. Electron Devices 2013, 60, 1310–1317. [Google Scholar] [CrossRef]
  39. Kim, Y.H.; Cabral, C.; Gusev, E.P.; Carruthers, R.; Gignac, L.; Gribelyuk, M.; Cartier, E.; Zafar, S.; Copel, M.; Narayanan, V.; et al. Systematic study of work function engineering and scavenging effect using NiSi alloy FUSI metal gates with advanced gate stacks. In Proceedings of the IEEE IEDM Technical Digest International Electron Devices Meeting, Washington, DC, USA, 5 December 2005. [Google Scholar] [CrossRef]
  40. Koh, S.M.; Zhou, Q.; Thanigaivelan, T.; Henry, T.; Samudra, G.S.; Yeo, Y.C. Novel technique to engineer aluminum profile at nickel-silicide/silicon: Carbon interface for contact resistance reduction, and integration in strained N-MOSFETs with silicon-carbon stressors. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 5–7 December 2011; pp. 845–848. [Google Scholar] [CrossRef]
  41. Lee, R.T.P.; Liow, T.Y.; Tan, K.M.; Lim, A.E.J.; Koh, A.T.Y.; Zhu, M.; Lo, G.Q.; Samudra, G.S.; Chi, D.Z.; Yeo, Y.C. Achieving conduction band-edge Schottky barrier height for arsenic-segregated nickel aluminide disilicide and implementation in FinFETs with ultra-narrow fin widths. IEEE Electron Device Lett. 2008, 29, 382–385. [Google Scholar] [CrossRef]
  42. Koh, A.T.-Y.; Lee, R.T.-P.; Lim, A.E.-J.; Lai, D.M.-Y.; Chi, D.-Z.; Hoe, K.-M.; Balasubramanian, N.; Samudra, G.S.; Yeo, Y.-C. Nickel-Aluminum Alloy Silicides with High Aluminum Content for Contact Resistance Reduction and Integration in n-Channel Field-Effect Transistors. J. Electrochem. Soc. 2008, 155, H151. [Google Scholar] [CrossRef]
Figure 1. Current–voltage (I–V) and 1/C2−V characteristics of (a) N1, (b) N2, and (c) N4 samples.
Figure 1. Current–voltage (I–V) and 1/C2−V characteristics of (a) N1, (b) N2, and (c) N4 samples.
Materials 11 00471 g001
Figure 2. Effective φbn as a function of MWA power. SBH: Schottky barrier height.
Figure 2. Effective φbn as a function of MWA power. SBH: Schottky barrier height.
Materials 11 00471 g002
Figure 3. Raman spectra of samples prepared using MWA powers of (a) 1120 W and (b) 3500 W.
Figure 3. Raman spectra of samples prepared using MWA powers of (a) 1120 W and (b) 3500 W.
Materials 11 00471 g003
Figure 4. Separated SIMS (secondary-ion mass spectroscopy) depth profiles of Al and B in selected samples.
Figure 4. Separated SIMS (secondary-ion mass spectroscopy) depth profiles of Al and B in selected samples.
Materials 11 00471 g004
Figure 5. φbn tuning schemes of dual implantation associated with Al implantation energy. The points encircled by the dashed line represent samples implanted with B only. The squares represent the first stage, and the triangles represent the second stage.
Figure 5. φbn tuning schemes of dual implantation associated with Al implantation energy. The points encircled by the dashed line represent samples implanted with B only. The squares represent the first stage, and the triangles represent the second stage.
Materials 11 00471 g005
Table 1. Effect of implantation conditions and microwave annealing (MWA) power on effective φbn.
Table 1. Effect of implantation conditions and microwave annealing (MWA) power on effective φbn.
Sample No.Implant SpeciesMWA Power
B Al1120 W1750 W2590 W2800 W3500 W
Implant Energy (keV)φbn (eV)
N11-0.73 0.85 0.92 0.94 0.96
N2120.73 0.82 1.02 1.04 1.05
N3140.88 0.97 1.01 1.01 1.01
N41100.92 0.98 1.00 1.00 1.00

Share and Cite

MDPI and ACS Style

Sun, F.; Li, C.; Fu, C.; Zhou, X.; Luo, J.; Zou, W.; Qiu, Z.-J.; Wu, D. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing. Materials 2018, 11, 471. https://doi.org/10.3390/ma11040471

AMA Style

Sun F, Li C, Fu C, Zhou X, Luo J, Zou W, Qiu Z-J, Wu D. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing. Materials. 2018; 11(4):471. https://doi.org/10.3390/ma11040471

Chicago/Turabian Style

Sun, Feng, Chen Li, Chaochao Fu, Xiangbiao Zhou, Jun Luo, Wei Zou, Zhi-Jun Qiu, and Dongping Wu. 2018. "Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing" Materials 11, no. 4: 471. https://doi.org/10.3390/ma11040471

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop