Next Article in Journal
Robot Delay-Tolerant Sensor Network for Overhead Transmission Line Monitoring
Previous Article in Journal
Treatment of Biofilm Communities: An Update on New Tools from the Nanosized World
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

An Approach to Reduce Greenhouse Gases in the Semiconductor Industry Using F2 Dissociated in Plasma for CVD Chamber Cleaning

1
Fraunhofer EMFT, Research Institution for Microsystems and Solid State Technologies Munich, 80686 Muenchen, Germany
2
Institute of Electronic and Sensor Materials, Technische Universität Bergakademie Freiberg, 09599 Freiberg, Germany
3
Solvay Fluor GmbH, 30173 Hannover, Germany
*
Author to whom correspondence should be addressed.
Appl. Sci. 2018, 8(6), 846; https://doi.org/10.3390/app8060846
Submission received: 17 April 2018 / Revised: 5 May 2018 / Accepted: 7 May 2018 / Published: 23 May 2018
(This article belongs to the Section Chemical and Molecular Sciences)

Abstract

:

Featured Application

CVD Cleaning process for Semiconductor industry.

Abstract

The gases used in industrial cleaning processes are considered greenhouse gases with a high global warming potential (GWP). It is important to provide a viable alternative chemical vapor deposition (CVD) cleaning gas that is capable of removing efficiently deposited layers on the CVD chamber inner wall and other parts of the apparatus. The cleaning gas has to be environmental friendly in order to avoid accentuation of the global warming phenomena. Besides that, the alternative cleaning gas should be compatible with the existing gas delivery system and the CVD equipment that is already used by industrial companies. Only by fulfilling the three requirements mentioned above is it possible to replace the well-established cleaning gases. In this project, an F2–gas mixture for the in-situ cleaning of CVD chambers has been studied and compared with conventional cleaning methods. The conventional cleaning process is defined as a cleaning procedure using either C2F6 in RF plasma discharge or NF3 in remote plasma discharge.

1. Introduction

Semiconductor chip manufacturers use in their in-situ processes a variety of gases to pattern silicon wafers and to reach a rapid cleaning of chemical vapor deposition (CVD) tool chambers. To maintain a high throughput during the thin film deposition processes, it is essential to optimize the cleaning step in a way to remove perfectly the residual thin films deposited on the CVD chamber inner wall without generating any particles. The presence of particles inside the CVD chamber will lead to a degradation of the device performance.
Under industrial process conditions, non-negligible amounts of unreacted fluorinated gases ranging between 10–80% are released into the atmosphere. Unfortunately, they are considered as greenhouse gases with a high global warming potential [1]. Greenhouse gases in the upper atmosphere avoid releasing the infrared radiation to the universe by reflecting it backwards to the earth. The global warming potential (GWP) of a gas is generally measured in a 100-year time horizon and expressed in CO2 equivalents. The GWP value depends on the lifetime of the gas in the atmosphere and its ability to absorb radiation.
In the last climate conference in Paris, which took place on 2015, a binding and universal climate target was set [2]. An agreement to limit the temperature increase to a maximum of 2 °C by 2100 was made.
Most of the gases employed by the semiconductor industry are CF4, C2F6, and C3F8, which are named perfluorocarbons, nitrogen trifluoride NF3, and sulfur hexafluoride SF6. According to the World Semiconductor Council, in 2013 the semiconductor industry used 7512 t of NF3, 1133 t of CF4, and 708 t of C2F6 on a global basis [3]. Approximately 72% of all semiconductor industry gaseous emissions are caused from these three gases. Although the semiconductor industry is only a small contributor to global warming, several fluorinated gases with a high global warming potential (GWP) are intensively used, and large quantities of Perfluorinated compounds (PFCs) are emitted. NF3 is the mostly used gas with a GWP of 17,200, followed by C2F6 and CF4 with GWPs of 1200 and 7390, respectively [4]. The main applications of these gases are to remove the residual films remaining inside deposition chambers after a plasma-enhanced chemical vapor deposition process (PECVD). The most important materials to remove are dielectric layers such as silicon oxides (SiO2), carbon-containing silicon oxides, silicon nitrides (Si3N4), and, to a certain extent, conducting films such as doped polysilicon and silicide layers.
Both Fraunhofer EMFT (Research Institution for Microsystems and Solid State Technologies) and Solvay have joined their efforts in a research program to find safe alternative gases to be used in the manufacturing processes for ensuring climate safety. Our goal is focused on finding an adequate gas for the semiconductor industry, which could be easily integrated to the existing CVD equipment so that buying any new systems or including important modifications to the onsite hardware are avoided. This means that the proposed approach has to be, on the one hand, a cost-effective solution for industrial companies, and on the other hand, reduce the amount of the used greenhouse gases. Here, we propose a fluorine gas mixture as an environmental-friendly gas for the CVD cleaning processes to replace C2F6 and NF3 gases in the near future.
In this paper, we report a comparison study between cleaning processes using C2F6, NF3, and a fluorine gas mixture (F2–gas mixture) performed in a 200-mm wafer-size CVD tool for SiO2 thin-layer deposition [5,6]. The process chamber ran with the new cleaning chemistry and was inspected on a regular basis during the period of this study in order to detect any equipment degradation related to using the new gas mixture.

2. Experimental

2.1. Hardware

Before describing the PECVD systems used to realize this work, we would like to first give some clarifications about the safety needed for using an F2–gas mixture with the existing hardware.
The delivery lines of an F2–gas mixture (F2/Ar/N2) from the gas cylinder to the CVD chambers were modified; however, most parts of the already existing single-wall stainless steel lines could be reused. A dry N2 gas flow insured the line purge for an easy change of the F2 cylinder. In some papers [7], it is relevant to use double-wall stainless tubes for flowing pure F2 gas, or a gas mixture containing more than 40% of F2. For our study, as the F2–gas mixture has lower F2 content, it was possible to flow it using the existing hardware facilities. Based on the long-term experiences of the Solvay Fluor GmbH in the field of F2 and F2–gas mixtures, a passivation of the newly installed gas facilitation was performed [8]. It was important to slowly flow the F2–gas mixture in the gas delivery system. The formation of metal fluorides inside the stainless steel surface of the gas line (Fe, Cr, Ni) due to reactions of the stainless steel elements with fluorine atoms permits achieving a durable passivation. Thus, the gas lines are protected from any corrosion. Further safety precautions were taken into account by placing the gas cabinet, the gas delivery system, and the F2 cylinder inside a closed cabinet with a safety exhaust. F2 sensors installed in the safety exhaust of the gas cabinets near the system were connected to the safety valve at the F2–gas cylinder and automatized to cut off gas flow in case of F2 detection.
In this study, the test runs were realized in two different PECVD systems that were located in the clean room of the Fraunhofer EMFT. 200-mm Si substrates were used. Both PECVD chambers were evacuated down to approximately 40 mTorr using a dry pumping system. One of the PECVD systems was a lamp-heated parallel-plate PECVD reactor from Applied Materials. TEOS (Tetraethyl orthosilicate)-based SiO2 films were deposited by applying 13.56 MHz RF power (radio frequency). The standard cleaning chemistry was C2F6/O2 with a small amount of NF3 addition in the second cleaning step. An additional F2/Ar/N2 gas line was installed, connecting a new gas cabinet located outside the cleanroom with the PECVD reactor.
The other PECVD chamber was made by the company Kobus, which is situated in France. The Aluminium Nitride (AlN) susceptor, which is a chuck for silicon wafers, has a resistive heating element filament to heat it to a desired chuck temperature. The standard cleaning process uses a mixture of C2F6 and O2 for chamber cleaning after oxide deposition. After polysilicon deposition, a NF3 gas using a remote plasma source (RPS) unit was used as the chamber clean. The RPS running at 400 kHz (MKS; “Paragon”, 6 kW RF power) was mounted on the top of the Alta-CVD reactor by Fraunhofer EMFT to allow chamber cleaning using the F2–gas mixture as well as the widely used NF3 process. Therefore, the original gas mixing block was modified. The RPS sits directly above the top gas inlet of the chamber lid, whose hardware was adapted to shorten the distance between the RPS outlet and the chamber inlet in order to reduce the path of the generated F-radicals by some cm to reach the CVD chamber.
It is worth mentioning that the same type of the gas mass flow controller (MFC) was used in both chambers for direct comparison and control of the total amount of F2–gas mixture flow. The gas mixture used for the RPS cleaning technique was 30% F2/Ar/N2. Since the gas cabinet for this chamber was directly located near the PECVD chamber in the cleanroom, only 10-l cylinders with a maximum pressure of 100 bar were allowed to be placed in the grey room, which is the service area of the clean room, to ensure safe operating conditions. For safety reasons, it is strongly advisable to avoid adding burnable gases to F2.

2.2. Method to Estimate Cleaning Efficiency of F2–Gas Mixture

The etching rates of the SiO2 layer were gained by measuring SiO2 film thicknesses pre-etched and post-etched under the desired etching conditions. The obtained etch rate of SiO2 reflects the efficiency of the CVD chamber clean. Firstly, the 200-mm blank silicon wafers were thermally oxidized to obtain a 530-nm oxide layer. This protective layer was necessary to prevent the silicon test wafers from unwanted edge etching of the silicon substrate during the cleaning step, measure the etched SiO2 during the cleaning process, and allow an easy wafer substrate recycling. Secondly, a 2.4-µm thick layer of PECVD-based SiO2 film was deposited in two cycles. The SiO2 layer thickness was measured using a reflectometry and/or ellipsometry (tencor UV1280 SE, KLA-Tencor, California, USA) method. A silicon wafer with a defined layer thickness of SiO2 was introduced inside the chamber on the susceptor, and the chamber clean was run with the desired gas for a fixed cleaning time. By partially etching the SiO2, the wafer surface is specular.

3. Results and Discussion

3.1. Comparative Study of RF Plasma Cleaning Using C2F6 and F2–Gas Mixture

The purpose of this experiment is to compare the cleaning effect of F2-gas mixture to the standard used cleaning process based on C2F6/O2 chemistry. A Taguchi Type L9 design of experiments (DOE) was adapted for conceptualizing the experiment. Etch rate data generated with the conventional 13.56-MHz PECVD system (FOGALE Nanotech , Nimes, France) were stable and well repeatable within a ±3% range [9].
Susceptor temperature was set to 400 °C. The temperature of the chamber wall was set constant at 55 °C by continuous water cooling. Figure 1a–d shows the SiO2 cleaning rates, under RF plasma cleaning, dependent on varying four parameters: chamber pressure, total flow amount of gas, RF power, and spacing between showerhead and the susceptor. The etching uniformity of SiO2 films was below ±5%. The etch rate is an average value of at least four identical wafers with an SiO2 layer. These results are in good agreement to previously reported data [9,10]. The addition of Ar gas in the F2–gas mixture is needed, on one side, for a safe and stable plasma ignition, and on the other side, to keep the etch non-uniformity of SiO2 below ±8%. In the absence of an argon element, the etch non-uniformity of SiO2 rose up to ±40%.
As can be seen in Figure 1, for all four varied parameters, the curves of the SiO2 etch rate show the same trend for both gas chemistries under the same conditions. However, the cleaning rate with the F2–gas mixture is higher in comparison to the one obtained for the cleaning gas based on C2F6. It was observed that the distance between the parallel plate reactor, showerhead and susceptor is an important factor, as are the RF power and working pressure, which influence the cleaning rate of the PECVD chamber. The SiO2 etch rate for the F2–gas mixture increases by a factor ~1.3 due to the lower bond dissociation energy of 155 kJ/mol at 298 K needed to break the F2 molecules [11]. In comparison to C2F6 molecules, a higher dissociation energy, which is approximately 402 kJ/mol at 298 K, is needed [12]. Therefore, F atoms form more easily from the F2–gas mixture at comparably low plasma energy. In contrary, to reach the same density of F atoms from C2F6 molecules, a high excitation energy is required.
The concentration of F atoms is correlated to the etch rate for capacitively-coupled plasma discharge. A kinetic study showed that SiO2 removal by spontaneous chemical reaction is dominant at high temperatures of 300 °C–400 °C, while SiO2 removal by ion-enhanced removal is dominant below 100 °C [13]. Consequently, the increase of the flow rate of the F2–gas mixture presumably increases the atomic F concentration present inside the CVD chamber, resulting in an increase of the oxide layer’s etch rate. However, a high flow rate of the clean gas had no time to reach a complete dissociation.
The gas mixture (20% F2/ 70% Ar + N2) provides a very high efficiency of cleaning in conventional CVD reactors. The total amount of fluorine consumption can be reduced by a factor of four to 10, depending on the chosen process parameters and the PECVD chamber type. This estimation is based on the comparison of the required total mass flow of F atoms between the two chemistries for the same amount of SiO2 etched.

3.2. Comparative Study of RPS Plasma Cleaning Using NF3 and F2–Gas Mixture

Figure 2 summarizes the etch rates of the SiO2 layer as a function of the fluorine mass in the total cleaning gas used by flowing into the chamber for one minute. An RPS unit was used to activate the desired cleaning gas. In our case, an F2–gas mixture and NF3 were the cleaning gases to be tested. Several F2–gas mixtures of F2, Ar, and N2 were received from the Solvay company, having a maximum concentration of 30% of F2. The percentage of the N2 and Ar in the F2–gas mixture were varied to get the optimal mixture giving a high etch rate of the CVD chamber. The reason was to find the best F2-based clean gas mixture to be tested on site by our industrial partner.
The RPS unit permits a high dissociation of NF3, reaching more than 95%. From the reached etch rate based on the F2–gas mixture, we suppose that the dissociation of the F2 molecules reached high values comparable to the NF3 chemistry. Additionally, a certain amount of species will recombine on their way into the process chamber, independently of the cleaning chemistry used. The RPS unit is optimized and reprogrammed specifically to the use of NF3 gas, which is widely employed in the industry.
Figure 2 shows that the SiO2 etch rates increase linearly by increasing the F2 content in the total gas flow, whatever the cleaning gas used. For example, for an F2–gas mixture containing a total mass fluorine equal to 0.25 g reaches an etch rate of 1150 nm/min. However, by using NF3 containing the same total mass of fluorine, a lower etch rate 750 nm/min is obtained.
The high etch rate obtained for the F2–gas mixture is explained by the presence of an optimal percentage of the N2 gas. Another explanation is the much lower plasma energy needed to completely dissociate F2–gas mixtures compared to NF3 [11]. It is important to take into account the recombination phenomena depending on the operating parameter and the hardware geometry [14].
To gain more knowledge about the role of N2 in the chamber cleaning, we represent in Figure 3 the SiO2 etch rate as a function of the N2 concentration in the F2–gas mixture for three different total masses of fluorine. A N2 concentration between 35–45% in the F2–gas mixture is believed to be optimal. However, a concentration of F2 higher than 30% in the mixture was not possible to study due to road traffic safety rules in Germany, which forbid the transportation of gas cylinders with such a concentration of F2. According to the literature, N2 takes the role of the oxygen catalyst by forming N2O during the SiO2 cleaning, and thus increasing the removal rate of SiO2 from the reactor surfaces [15,16].

3.3. Particles Generation and Process Kit Inspection

A “mini-marathon run” was performed to simulate a typical production procedure, where 25-wafer lots were automatically processed through the AltaCVD tool. A total of 500 wafers were processed, depositing 0.8 µm of SiO2 on to the Si substrates followed by the F2-based cleaning process. The optical inspection showed no unusual wear of the process kit parts, no degradation of O-rings made of perfluoroelastomer, no tool downtime, and no noticeable chamber damage. The measured particles density was compared with the NF3 clean. These results are a good indication for a possibility of substituting the NF3 via the F2–gas mixture clean. The substitution does not necessitate any modification of the existing hardware used by the semiconductor industrial companies.

4. Conclusions

According to the present study, we demonstrate that the F2–gas mixture is an adequate candidate to be widely extended for cleaning CVD apparatus in conjunction with the existing hardware equipment. Its efficiency, by looking to the etch rate parameter, is largely higher than that of C2F6/O2 using an RF discharge frequency of 13.56 MHz. It was proven that a CVD cleaning-based remote plasma source (RPS) using an F2–gas mixture is comparable, and in some conditions even better than the one offered by an NF3 clean. By realizing a 500-wafer run, the etch rate stability was confirmed using the cleaning based on an F2–gas mixture. No abnormal amount of generated particles inside the CVD chamber and erosion of the process kit parts were observed.
Chamber cleaning with an F2–gas mixture compared to conventional ones, based on C2F6 discharged by RF plasma or NF3 by remote plasma, increases equipment uptime and throughput, on the one hand. On the other hand, it decreases the usage of the amount of chemical products, and therefore the cost of materials. As the molecular fluorine has no global warming potential, the F2 gas represents a beneficial cleaning gas alternative for the CVD equipment.

5. Patents

International patent application WO 2016188718 A1.

Author Contributions

Jamila Boudaden and Robert Wieland designed the experiments based on previous realized work and realized the research project. Stephan Altmannshofer and Robert Wieland contributed to the experimental work. Jamila Boudaden wrote the paper with input from all authors. Micheal Pittroff and Ignaz Eisele discussed and commented on the manuscript.

Acknowledgments

The authors would like to acknowledge BMBF (National ministry for education and research) for funding of this research work within “ecoFluor” project. We would like to thank B. Piechullek and U. Seidelmann (Fraunhofer EMFT, Munich), T. Schwarze (Solvay Fluor, Hannover) and K. Debusmann (Fraunhofer IMS, Duisburg) for their support to realize this study.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Shine Keith, P. The global warming potential—The need for an interdisciplinary retrial. Clim. Chang. 2009, 96, 467–472. [Google Scholar] [CrossRef]
  2. United Nations, Framework Convention on Climate Change. Available online: http://unfccc.int/paris_agreement/items/9485.php (accessed on 8 May 2018).
  3. Joined Statement of the 18th Meeting of the World Semiconductor Council (WSC) Taipei, Taiwan, 22 May 2014. Available online: https://www.semiconductors.org/clientuploads/directory/DocumentSIA/International%20Trade%20and%20IP/May2014JOINTSTATEMENTTaipei18thWSC%20Final.pdf (accessed on 8 May 2018).
  4. Good Practice Guidance and Uncertainty Management in National Greenhouse Gas Inventories, chapter 3. In Industrial Processes; IPCC: Gevena, Switzerland, 2001.
  5. Umweltfreundliches Reinigungsgas Schont das Klima. Available online: https://www.bmbf.de/de/umweltfreundliches-reinigungsgas-schont-das-klima-2174.html (accessed on 15 April 2018).
  6. Wieland, R.; Pittroff, M.; Boudaden, J.; Altmannshofer, S.; Kutter, C. Environmental-Friendly Fluorine Mixture for CVD Cleaning Processes to Replace C2F6, CF4 and NF3. ECS Trans. 2016, 72, 23–34. [Google Scholar] [CrossRef]
  7. Kang, S.C.; Hwang, J.Y.; Lee, N.-E.; Joo, K.S.; Bae, G.H. Evaluation of silicon oxide cleaning using F2/Ar remote plasma processing. J. Vac. Sci. Technol. A 2005, 23, 911–916. [Google Scholar] [CrossRef]
  8. Miki, N.; Maeno, M.; Maruhashi, K.; Nakagawa, Y.; Ohmi, T. Fluorine passivation of stainless steel. Corros. Sci. 1990, 31, 69–74. [Google Scholar] [CrossRef]
  9. Riva, M.; Pittroff, M.; Schwarze, T.; Oshinowo, J.; Wieland, R. Etch performance of Ar/N2/F2 for CVD/ALD chamber clean. Solid State Technol. 2009, 52, 20–24. [Google Scholar]
  10. Pittroff, M.; Wieland, R.; Boudaden, J. A Process for Etching, and Chamber Cleaning and A Gas Therefor. International Patent Application WO 2016188718 A1, 22 May 2015. [Google Scholar]
  11. Ionization Energy. Available online: https://webbook.nist.gov/chemistry/ion-ser/ (accessed on 8 May 2018).
  12. Weaver, J.H.; Frederikse, H.P.R. CRC Handbook of Chemistry and Physics; CRC Press: Boca Raton, FL, USA, 1977; Volume 76, pp. 12–156. [Google Scholar]
  13. Chae, H.; Sawin, H.H. Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber. J. Korean Phys. Soc. 2007, 51, 978–983. [Google Scholar] [CrossRef]
  14. Gangoli, S.P.; Johnson, A.D.; Fridman, A.A.; Pearce, R.V.; Gutsol, A.F.; Dolgopolsky, A. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber. J. Phys. D Appl. Phys. 2007, 40, 5140–5154. [Google Scholar] [CrossRef]
  15. Chen, M.H.; Ni, C.T.; Su, C.H.; Chen, Y.L. The N2 diluted application in PECVD NF3 in-situ chamber cleaning for PFC reduction. In Proceedings of the Advanced Semiconductor Manufacturing Conference, Saratoga Springs, NY, USA, 14–16 May 2013; pp. 163–165. [Google Scholar]
  16. Yun, Y.B.; Park, S.M.; Kim, D.J.; Lee, N.-E.; Kim, K.S.; Bae, G.H. Very High-Rate Chemical Dry Etching of Si in F2 Remote Plasmas with Nitrogen-Containing Additive Gases. J. Electrochem. Soc. 2007, 154, 489–493. [Google Scholar] [CrossRef]
Figure 1. ad. SiO2 etch rates using F2/Ar/N2 mixture and C2F6/O2 as a function of (a) pressure, (b) plasma power, (c) spacing between the showerhead and the susceptor, and (d) gas flow. The error bars are ±8% and ±3% for plasma cleaning using F2/Ar/N2 mixture and C2F6/O2, respectively.
Figure 1. ad. SiO2 etch rates using F2/Ar/N2 mixture and C2F6/O2 as a function of (a) pressure, (b) plasma power, (c) spacing between the showerhead and the susceptor, and (d) gas flow. The error bars are ±8% and ±3% for plasma cleaning using F2/Ar/N2 mixture and C2F6/O2, respectively.
Applsci 08 00846 g001
Figure 2. SiO2 etch rates per minute as a function on atomic fluorine mass for an F2–gas mixture and NF3 cleaning gas. The following parameters were kept constant: total flow, spacing for inner clean = 200 mils, spacing for outer clean = 650 mils, T = 400 °C, pressure for inner clean = 3 Torr, pressure for outer clean = 4 Torr, clean time = 45 s. The error bars are ±10% and ±4% for plasma cleaning using an F2–gas mixture and NF3, respectively.
Figure 2. SiO2 etch rates per minute as a function on atomic fluorine mass for an F2–gas mixture and NF3 cleaning gas. The following parameters were kept constant: total flow, spacing for inner clean = 200 mils, spacing for outer clean = 650 mils, T = 400 °C, pressure for inner clean = 3 Torr, pressure for outer clean = 4 Torr, clean time = 45 s. The error bars are ±10% and ±4% for plasma cleaning using an F2–gas mixture and NF3, respectively.
Applsci 08 00846 g002
Figure 3. SiO2 etch rates per minute as a function of the N2 percentage in an F2–gas mixture for different total mass flows of fluorine in the F2–gas mixture. The following parameters were kept constant: total flow, spacing between 200 mils to 650 mils, T = 400 °C, pressure between 3 Torr for inner clean and 4 Torr for outer clean, clean time = 45 s. The error bar is ±10% for plasma cleaning using an F2–gas mixture.
Figure 3. SiO2 etch rates per minute as a function of the N2 percentage in an F2–gas mixture for different total mass flows of fluorine in the F2–gas mixture. The following parameters were kept constant: total flow, spacing between 200 mils to 650 mils, T = 400 °C, pressure between 3 Torr for inner clean and 4 Torr for outer clean, clean time = 45 s. The error bar is ±10% for plasma cleaning using an F2–gas mixture.
Applsci 08 00846 g003

Share and Cite

MDPI and ACS Style

Boudaden, J.; Altmannshofer, S.; Wieland, R.; Pittroff, M.; Eisele, I. An Approach to Reduce Greenhouse Gases in the Semiconductor Industry Using F2 Dissociated in Plasma for CVD Chamber Cleaning. Appl. Sci. 2018, 8, 846. https://doi.org/10.3390/app8060846

AMA Style

Boudaden J, Altmannshofer S, Wieland R, Pittroff M, Eisele I. An Approach to Reduce Greenhouse Gases in the Semiconductor Industry Using F2 Dissociated in Plasma for CVD Chamber Cleaning. Applied Sciences. 2018; 8(6):846. https://doi.org/10.3390/app8060846

Chicago/Turabian Style

Boudaden, Jamila, Stephan Altmannshofer, Robert Wieland, Michael Pittroff, and Ignaz Eisele. 2018. "An Approach to Reduce Greenhouse Gases in the Semiconductor Industry Using F2 Dissociated in Plasma for CVD Chamber Cleaning" Applied Sciences 8, no. 6: 846. https://doi.org/10.3390/app8060846

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop