materials-logo

Journal Browser

Journal Browser

Thin-Film Deposition, Characterization and Advanced Surface Engineering

A special issue of Materials (ISSN 1996-1944).

Deadline for manuscript submissions: closed (31 October 2016) | Viewed by 62332

Special Issue Editors


E-Mail Website
Guest Editor
Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, USA
Interests: atomic layer deposition; advanced CMOS; gate stack; 2D nanomaterials and heterostructures; fabrication and integration of next-generation electronic devices
Special Issues, Collections and Topics in MDPI journals

E-Mail
Guest Editor
Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX 75080, USA
Interests: materials chemistry; nanotechnology; surface chemistry

Special Issue Information

Dear Colleagues,

Deposition of surface and interface engineered thin films with well-tailored properties has been a field of intense research in both academia and prospective technology. Such thin film deposition technology, including atomic layer deposition, enables the scaling down of complementary metal-oxide-semiconductor (CMOS) technology to nodes smaller than 10 nm. The key topic and scope of this forthcoming Special Issue is dedicated to presenting our readers with a diverse overview of the recent advances and developments in thin film growth and characterization, surface and interface treatment methods, and characterization of the chemical, physical, and electrical properties of nanostructured functional materials.

  • Advanced thin film process, characterization and simulation for electronic devices, sensors, and energy applications
  • Fabrication, surface modification, characterization, and simulation of nanostructured materials, such as 2D (e.g. graphene and transition metal dichalcogenides), 1D (e.g., nanotubes and nanowires), and 0D (e.g., quantum dots and nanoparticles)
  • Fabrication, surface treatment, characterization, integration, and applications of alternative channel materials, such as III-V and 2D materials
  • Organic and inorganic multifunctional nanomaterials: Synthesis, properties, characterization
  • Applications of thin films and surface engineering

We are looking forward to your submissions to this Special Issue regarding, but not limited to, the above topics in the form of either a full-length research manuscript or short communication. Please contact us if you have any questions regarding this Special Issue.

Dr. Jiyoung Kim
Dr. Lanxia Cheng
Guest Editors

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Materials is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2600 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Published Papers (8 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Research

Jump to: Review

1830 KiB  
Article
Fabrication of p-Type ZnO:N Films by Oxidizing Zn3N2 Films in Oxygen Plasma at Low Temperature
by Yuping Jin, Nuannuan Zhang and Bin Zhang
Materials 2017, 10(3), 236; https://doi.org/10.3390/ma10030236 - 27 Feb 2017
Cited by 11 | Viewed by 4829
Abstract
The oxygen vacancy (VO) is known as the main compensation center in p-type ZnO, which leads to the difficulty of fabricating high-quality p-type ZnO. To reduce the oxygen vacancies, we oxidized Zn3N2 films in oxygen plasma and successfully [...] Read more.
The oxygen vacancy (VO) is known as the main compensation center in p-type ZnO, which leads to the difficulty of fabricating high-quality p-type ZnO. To reduce the oxygen vacancies, we oxidized Zn3N2 films in oxygen plasma and successfully prepared p-type ZnO:N films at temperatures ranging from room temperature to 300 °C. The films were characterized by X-ray diffraction (XRD), non-Rutherford backscattering (non-RBS) spectroscopy, X-ray photoelectron spectroscopy, photoluminescence spectrum, and Hall Effect. The results show that the nitrogen atoms successfully substitute the oxygen sites in the ZnO:N films. The film prepared at room temperature exhibits the highest hole concentration of 6.22 × 1018 cm−3, and the lowest resistivity of 39.47 Ω∙cm. In all ZnO:N films, the VO defects are reduced significantly. At 200 °C, the film holds the lowest value of VO defects and the strongest UV emission. These results imply that oxygen plasma is very efficient in reducing VO defects in p-type ZnO:N films, and could greatly reduce the reaction temperature. This method is significant for the development of ZnO-based optoelectronic devices. Full article
Show Figures

Graphical abstract

2146 KiB  
Article
Role of Hydrogen Bonding in the Formation of Adenine Chains on Cu(110) Surfaces
by Lanxia Cheng
Materials 2016, 9(12), 1016; https://doi.org/10.3390/ma9121016 - 16 Dec 2016
Cited by 5 | Viewed by 4465
Abstract
Understanding the adsorption properties of DNA bases on metal surfaces is fundamental for the rational control of surface functionalization leading to the realisation of biocompatible devices for biosensing applications, such as monitoring of particular parameters within bio-organic environments and drug delivery. In this [...] Read more.
Understanding the adsorption properties of DNA bases on metal surfaces is fundamental for the rational control of surface functionalization leading to the realisation of biocompatible devices for biosensing applications, such as monitoring of particular parameters within bio-organic environments and drug delivery. In this study, the effects of deposition rate and substrate temperature on the adsorption behavior of adenine on Cu(110) surfaces have been investigated using scanning tunneling microscopy (STM) and density functional theory (DFT) modeling, with a focus on the characterization of the morphology of the adsorbed layers. STM results revealed the formation of one-dimensional linear chains and ladder-like chains parallel to the [110] direction, when dosing at a low deposition rate at room temperature, followed by annealing to 490 K. Two mirror related, well-ordered chiral domains oriented at ±55° with respect to the [110] direction are formed upon deposition on a substrate kept at 490 K. The molecular structures observed via STM are rationalized and qualitatively described on the basis of the DFT modeling. The observation of a variety of ad-layer structures influenced by deposition rate and substrate temperature indicates that dynamic processes and hydrogen bonding play an important role in the self-assembly of adenine on the Cu(110) surface. Full article
Show Figures

Figure 1

12959 KiB  
Article
Efficient Solar-Induced Photoelectrochemical Response Using Coupling Semiconductor TiO2-ZnO Nanorod Film
by Nur Azimah Abd Samad, Chin Wei Lai, Kung Shiuh Lau and Sharifah Bee Abd Hamid
Materials 2016, 9(11), 937; https://doi.org/10.3390/ma9110937 - 22 Nov 2016
Cited by 14 | Viewed by 6404
Abstract
Efficient solar driven photoelectrochemical (PEC) response by enhancing charge separation has attracted great interest in the hydrogen generation application. The formation of one-dimensional ZnO nanorod structure without bundling is essential for high efficiency in PEC response. In this present research work, ZnO nanorod [...] Read more.
Efficient solar driven photoelectrochemical (PEC) response by enhancing charge separation has attracted great interest in the hydrogen generation application. The formation of one-dimensional ZnO nanorod structure without bundling is essential for high efficiency in PEC response. In this present research work, ZnO nanorod with an average 500 nm in length and average diameter of about 75 nm was successfully formed via electrodeposition method in 0.05 mM ZnCl2 and 0.1 M KCl electrolyte at 1 V for 60 min under 70 °C condition. Continuous efforts have been exerted to further improve the solar driven PEC response by incorporating an optimum content of TiO2 into ZnO nanorod using dip-coating technique. It was found that 0.25 at % of TiO2 loaded on ZnO nanorod film demonstrated a maximum photocurrent density of 19.78 mA/cm2 (with V vs. Ag/AgCl) under UV illumination and 14.75 mA/cm2 (with V vs. Ag/AgCl) under solar illumination with photoconversion efficiency ~2.9% (UV illumination) and ~4.3% (solar illumination). This performance was approximately 3–4 times higher than ZnO film itself. An enhancement of photocurrent density and photoconversion efficiency occurred due to the sufficient Ti element within TiO2-ZnO nanorod film, which acted as an effective mediator to trap the photo-induced electrons and minimize the recombination of charge carriers. Besides, phenomenon of charge-separation effect at type-II band alignment of Zn and Ti could further enhance the charge carrier transportation during illumination. Full article
Show Figures

Figure 1

2555 KiB  
Article
Reduced Subthreshold Characteristics and Flicker Noise of an AlGaAs/InGaAs PHEMT Using Liquid Phase Deposited TiO2 as a Gate Dielectric
by Kai-Yuen Lam, Jung-Sheng Huang, Yong-Jie Zou, Kuan-Wei Lee and Yeong-Her Wang
Materials 2016, 9(11), 861; https://doi.org/10.3390/ma9110861 - 25 Oct 2016
Cited by 1 | Viewed by 4999
Abstract
This study presents the fabrication and improved properties of an AlGaAs/InGaAs metal-oxide-semiconductor pseudomorphic high-electron-mobility transistor (MOS-PHEMT) using liquid phase deposited titanium dioxide (LPD-TiO2) as a gate dielectric. Sulfur pretreatment and postoxidation rapid thermal annealing (RTA) were consecutively employed before and after [...] Read more.
This study presents the fabrication and improved properties of an AlGaAs/InGaAs metal-oxide-semiconductor pseudomorphic high-electron-mobility transistor (MOS-PHEMT) using liquid phase deposited titanium dioxide (LPD-TiO2) as a gate dielectric. Sulfur pretreatment and postoxidation rapid thermal annealing (RTA) were consecutively employed before and after the gate dielectric was deposited to fill dangling bonds and therefore release interface trapped charges. Compared with a benchmark PHEMT, the AlGaAs/InGaAs MOS-PHEMT using LPD-TiO2 exhibited larger gate bias operation, higher breakdown voltage, suppressed subthreshold characteristics, and reduced flicker noise. As a result, the device with proposed process and using LPD-TiO2 as a gate dielectric is promising for high-speed applications that demand little noise at low frequencies. Full article
Show Figures

Figure 1

4725 KiB  
Article
Effects of Sputtering Parameters on AlN Film Growth on Flexible Hastelloy Tapes by Two-Step Deposition Technique
by Bin Peng, Dongdong Gong, Wanli Zhang, Jianying Jiang, Lin Shu and Yahui Zhang
Materials 2016, 9(8), 686; https://doi.org/10.3390/ma9080686 - 10 Aug 2016
Cited by 13 | Viewed by 5211
Abstract
AlN thin films were deposited on flexible Hastelloy tapes and Si (100) substrate by middle-frequency magnetron sputtering. A layer of Y2O3 films was used as a buffer layer for the Hastelloy tapes. A two-step deposition technique was used to prepare [...] Read more.
AlN thin films were deposited on flexible Hastelloy tapes and Si (100) substrate by middle-frequency magnetron sputtering. A layer of Y2O3 films was used as a buffer layer for the Hastelloy tapes. A two-step deposition technique was used to prepare the AlN films. The effects of deposition parameters such as sputtering power, N2/Ar flow rate and sputtering pressure on the microstructure of the AlN thin films were systematically investigated. The results show that the dependency of the full width at half maximum (FWHM) of AlN/Y2O3/Hastelloy on the sputtering parameters is similar to that of AlN/Si (100). The FWHM of the AlN (002) peak of the prepared AlN films decreases with increasing sputtering power. The FWHM decreases with the increase of the N2/Ar flow rate or sputtering pressure, and increases with the further increase of the N2/Ar flow rate or sputtering pressure. The FWHM of the AlN/Y2O3/Hastelloy prepared under optimized parameters is only 3.7° and its root mean square (RMS) roughness is 5.46 nm. Based on the experimental results, the growth mechanism of AlN thin films prepared by the two-step deposition process was explored. This work would assist us in understanding the AlN film’s growth mechanism of the two-step deposition process, preparing highly c-axis–oriented AlN films on flexible metal tapes and developing flexible surface acoustic wave (SAW) sensors from an application perspective. Full article
Show Figures

Figure 1

1305 KiB  
Article
Spectroscopic Study of Plasma Polymerized a-C:H Films Deposited by a Dielectric Barrier Discharge
by Thejaswini Halethimmanahally Chandrashekaraiah, Robert Bogdanowicz, Eckart Rühl, Vladimir Danilov, Jürgen Meichsner, Steffen Thierbach and Rainer Hippler
Materials 2016, 9(7), 594; https://doi.org/10.3390/ma9070594 - 19 Jul 2016
Cited by 10 | Viewed by 5892
Abstract
Plasma polymerized a-C:H thin films have been deposited on Si (100) and aluminum coated glass substrates by a dielectric barrier discharge (DBD) operated at medium pressure using C2Hm/Ar (m = 2, 4, 6) gas mixtures. The deposited films [...] Read more.
Plasma polymerized a-C:H thin films have been deposited on Si (100) and aluminum coated glass substrates by a dielectric barrier discharge (DBD) operated at medium pressure using C2Hm/Ar (m = 2, 4, 6) gas mixtures. The deposited films were characterized by Fourier transform infrared reflection absorption spectroscopy (FT-IRRAS), Raman spectroscopy, and ellipsometry. FT-IRRAS revealed the presence of sp3 and sp2 C–H stretching and C–H bending vibrations of bonds in the films. The presence of D and G bands was confirmed by Raman spectroscopy. Thin films obtained from C2H4/Ar and C2H6/Ar gas mixtures have ID/IG ratios of 0.45 and 0.3, respectively. The refractive indices were 2.8 and 3.1 for C2H4/Ar and C2H6/Ar films, respectively, at a photon energy of 2 eV. Full article
Show Figures

Figure 1

Review

Jump to: Research

2252 KiB  
Review
Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks
by Xin Meng, Young-Chul Byun, Harrison S. Kim, Joy S. Lee, Antonio T. Lucero, Lanxia Cheng and Jiyoung Kim
Materials 2016, 9(12), 1007; https://doi.org/10.3390/ma9121007 - 12 Dec 2016
Cited by 95 | Viewed by 21960
Abstract
With the continued miniaturization of devices in the semiconductor industry, atomic layer deposition (ALD) of silicon nitride thin films (SiNx) has attracted great interest due to the inherent benefits of this process compared to other silicon nitride thin film deposition techniques. [...] Read more.
With the continued miniaturization of devices in the semiconductor industry, atomic layer deposition (ALD) of silicon nitride thin films (SiNx) has attracted great interest due to the inherent benefits of this process compared to other silicon nitride thin film deposition techniques. These benefits include not only high conformality and atomic-scale thickness control, but also low deposition temperatures. Over the past 20 years, recognition of the remarkable features of SiNx ALD, reinforced by experimental and theoretical investigations of the underlying surface reaction mechanism, has contributed to the development and widespread use of ALD SiNx thin films in both laboratory studies and industrial applications. Such recognition has spurred ever-increasing opportunities for the applications of the SiNx ALD technique in various arenas. Nevertheless, this technique still faces a number of challenges, which should be addressed through a collaborative effort between academia and industry. It is expected that the SiNx ALD will be further perceived as an indispensable technique for scaling next-generation ultra-large-scale integration (ULSI) technology. In this review, the authors examine the current research progress, challenges and future prospects of the SiNx ALD technique. Full article
Show Figures

Figure 1

1089 KiB  
Review
Coating Methods for Surface Modification of Ammonium Nitrate: A Mini-Review
by Baha I. Elzaki and Yue Jun Zhang
Materials 2016, 9(7), 502; https://doi.org/10.3390/ma9070502 - 23 Jun 2016
Cited by 20 | Viewed by 6925
Abstract
Using ammonium nitrate (AN) as a propellant oxidizer is limited due to its hygroscopicity. This review consolidated the available information of various issues pertaining to the coating methods of the surface modification of ammonium nitrate for reducing its hygroscopicity. Moreover this review summarizes [...] Read more.
Using ammonium nitrate (AN) as a propellant oxidizer is limited due to its hygroscopicity. This review consolidated the available information of various issues pertaining to the coating methods of the surface modification of ammonium nitrate for reducing its hygroscopicity. Moreover this review summarizes the recent advances and issues involved in ammonium nitrate surface modification by physical, chemical and encapsulation coating methods to reduce the hygroscopicity. Furthermore, coating materials, process conditions, and the hygroscopicity test conditions are extensively discussed along, with summaries of the advantages and disadvantages of each coating method. Our findings indicated that the investigation and development of anti-hygroscopicity of AN, and the mechanisms of surface modification by coating urgently require further research in order to further reduce the hygroscopicity. Therefore, this review is useful to researchers concerned with the improvement of ammonium salts’ anti-hygroscopicity. Full article
Show Figures

Figure 1

Back to TopTop