Next Article in Journal
Ultrasonic Assessment of Liver Fibrosis Using One-Dimensional Convolutional Neural Networks Based on Frequency Spectra of Radiofrequency Signals with Deep Learning Segmentation of Liver Regions in B-Mode Images: A Feasibility Study
Previous Article in Journal
Design and Analysis of a Contact Piezo Microphone for Recording Tracheal Breathing Sounds
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Low-Resource Time-to-Digital Converters for Field Programmable Gate Arrays: A Review

IFIC—Instituto de Física Corpuscular, CSIC—Universitat de València, c/Catedrático José Beltrán, 2, 46980 Paterna, Spain
*
Author to whom correspondence should be addressed.
Sensors 2024, 24(17), 5512; https://doi.org/10.3390/s24175512
Submission received: 19 June 2024 / Revised: 8 August 2024 / Accepted: 16 August 2024 / Published: 26 August 2024
(This article belongs to the Section Electronic Sensors)

Abstract

:
A fundamental aspect in the evolution of Time-to-Digital Converters (TDCs) implemented within Field-Programmable Gate Arrays (FPGAs), given the increasing demand for detection channels, is the optimization of resource utilization. This study reviews the principal methodologies employed for implementing low-resource TDCs in FPGAs. It outlines the foundational architectures and interpolation techniques utilized to bolster TDC performances without unduly burdening resource consumption. Low-resource Tapped Delay Line, Vernier Ring Oscillator, and Multi-Phase Shift Counter TDCs, including the use of SerDes, are reviewed. Additionally, novel low-resource architectures are scrutinized, including Counter Gray Oscillator TDCs and interpolation expansions using Process–Voltage–Temperature stable IODELAYs. Furthermore, the advantages and limitations of each approach are critically assessed, with particular emphasis on resolution, precision, non-linearities, and especially resource utilization. A comprehensive summary table encapsulating existing works on low-resource TDCs is provided, offering a comprehensive overview of the advancements in the field.

1. Introduction

Time-to-Digital Converters (TDCs), which convert a pulse time duration into a numeric value, are used in various applications where an accurate measurement of time is needed, such as time-based signal processing [1], ASIC testing [2], detecting Trojan infections in ASIC [3], nuclear medicine imaging with Positron Emission Tomography [4,5,6,7], measuring the laser flight time in laser ranging [8], fluorescence spectroscopy [9], ultrawideband radio frequency localization [10,11], Laser Imaging Detection and Ranging [12], or experiments in high-energy physics [13,14,15,16], including neutrino telescopes [17,18,19] and accelerator instrumentation [20,21,22].
TDCs can be implemented in both ASICs and FPGAs. ASICs can provide better performances, especially when there are requirements for high resolution. Nevertheless, FPGAs are less expensive and complex than ASICs; they provide a faster development time and the flexibility to adapt the logic to the operation conditions, reducing the development costs and the time-to-market time [23,24,25,26,27]. Furthermore, the remaining FPGA resources can be utilized to process TDC data and interface with the rest of the readout system, which results in higher reliability [28,29,30].
There is an increasing demand for a higher number of TDC channels implemented in FPGAs, which requires low-resource and low-power TDCs. FPGAs are devices designed to operate synchronously; therefore, the primary and simplest method for implementing TDCs consists of a simple counter. The resolution of this basic implementation can be extended using various methods, allowing for resolutions significantly finer than the period of the counter clock. To extend the counter’s resolution, Nutt architecture (also called interpolation archictecture) [31] is employed in FPGAs. The counter keeps track of the clock cycles, while an interpolator computes the fractional part within the clock cycle for both the start and stop segments.
The most used low-resource interpolation methods is the Multi-Phase Shift Clock (MPSC) together with the recently introduced Gray Code Oscillator (GCO), while there have also been advances to reduce the number of resources used in Tapped Delay Line (TDL) and Vernier Ring Oscillator (VRO) TDCs. A special type of TDC is based on a two-step interpolation, each of the two using different interpolation techniques. Noise-Shaping (NS) TDCs have recently been implemented fully in FPGAs, although the use of resources is still considerable. The advances in low-resource TDCs, with their advantages and drawbacks, are reviewed in this work. A summarizing table shows the existing works on low-resource TDCs reviewed in this work. The counter architecture, the simplest method to implement TDCs in FPGAs, is presented in Section 3. The main parameters describing TDCs are detailed in Section 2, while Section 4 is dedicated to TDL-TDCs, Section 5 discusses VRO-TDCs, NS-TDCs are analyzed in Section 6, GCO-TDCs are presented in Section 7, MPSC-TDCs are reviewed in Section 8, and Section 9 is dedicated to two-stage interpolation TDCs. Finally, a discussion takes place in Section 10, while the conclusions are presented in Section 11.

2. TDC Main Parameters

The performance and characteristics of a TDC are defined by several key parameters. The most important of them include the following:
  • Resolution refers to the smallest detectable time interval or the smallest distinguishable step-in-time measurement that the TDC can achieve. The smallest resolvable time interval is represented by the Least Significant Bit (LSB), which establishes the resolution of the TDC.
  • Dead-time refers to the minimum time interval required between two consecutive events or pulses for accurate detection and measurement. It is the period during which the TDC is temporarily unable to respond to incoming signals due to internal processing, resetting, or recovery time. Dead-time is an inherent characteristic of TDCs and is primarily caused by the time required for data acquisition, signal conditioning, digitization, and internal circuitry operations.
  • Precision refers to the repeatability and consistency of time measurements performed by the TDC. It is directly affected by the non-linearities of the TDC.
  • Differential non-linearities (DNLs) can be defined as the deviation of a single quantization step from the ideal LSB. They quantify the step size variation between consecutive output codes. DNL is typically expressed as the difference between the measured step size and the ideal step size (in LSB). The DNL is evaluated by comparing the number of pulses per LSB bin ( n i ) with the mean value ( n ¯ ):
    DNL i = n i n ¯ n ¯
  • Integral non-linearities (INLs) describe the greatest deviation in the transfer function of a TDC from the ideal linear relationship. The INL value provides information about the linearity and precision of the TDC’s measurements. It can be determined by performing the following calculation [18]:
    INL = T ¯ T in t bin
    where T in represents the input pulse width, T ¯ denotes the average of the pulse width measurements, and t bin indicates the bin size.
  • Range refers to the time intervals that the TDC is capable of accurately measuring. It represents the minimum and maximum values that the TDC can handle within its specified operating conditions.
  • Clock Frequency refers to the frequency at which the TDC’s internal clock operates, influencing the resolution and measurement capabilities.
  • Calibration parameters: some TDCs, in order to compensate for non-linearities and enhance accuracy, require a calibration process. Usually, the calibration system increases the use of resources.
  • Resource occupancy concerns the utilization of FPGA resources, such as lookup tables (LUTs), flip-flops (FFs), memory blocks, interconnects, or other FPGA hardware, such as SerDes or IOs delays, to implement the TDC functionality. The present review is dedicated to TDCs which are implemented with low resource use.

3. The Basics: The Counter and the Interpolator

The simplest method to implement a TDC in an FPGA is the counter method, where the time interval is measured by counting the number of clock cycles that occur between two events. The resolution of the TDC is determined by the clock frequency. In FPGAs, the frequency is limited to a few hundred megahertz [32,33], which, in turn, limits the performances of the TDCs. One of the main advantages of this method is that non-linearities are minimal and dependent on the clock jitter. Another advantage is that the TDC’s scale can be extended as needed, simply by increasing the counter’s range.
The range of the TDC can be calculated as follows:
Range = ( 2 n 1 ) × T c l k
where range is the maximum measurable time interval, n represents the number of bits in the counter, and T c l k is the period of the clock signal. By increasing the number of bits in the counter, the total countable range of the TDC is also increased. For each additional bit added, the TDC’s measurable range is effectively doubled. As shown in Figure 1, the start and end of the pulse input are not properly measured. To enhance the TDC’s resolution, the interpolation method (also called Nutt’smethod) is employed. This approach delivers a greater resolution. The counter provides a coarse count, while the time intervals before the first counting cycle and after the last one are interpolated with a finer resolution method. The counter keeps track of the clock cycles, while the interpolator computes the fractional part within the clock cycle in both the start and stop signals with respect to the clock edge. In the realm of Field-Programmable Gate Arrays (FPGAs), two primary interpolation methods are employed to implement low-resource TDCs: MPSC and GCO, while other interpolation methods such as TDL and VRO have achieved considerable reductions in the use of resources. Each of these methods will be thoroughly detailed in the subsequent sections.

4. Tapped Delay Line TDCs

The TLD technique relies on a logic buffer delay cell, where the start signal propagates and the stop signal latches the state of the delay line in a register (see Figure 2). The resolution is determined mainly by the basic delay duration, while the precision is determined by the uniformity of the delay tap. When the rising edge of the master clock signal detects the start or end of a pulse at the input of the TDC, all the FFs in the TDL are frozen and read, enabling a more precise measurement of the time interval [34]. Different alternatives have been explored to implement the TDL in FPGAs. The FPGA routing resources can be used to create the basic delay and can also be used to implement vernier TDCs [29]. Other elements to implement the TDL are FFs [35] and LUTs [36]. DSP blocks within FPGAs have also been used to implement TDLs [37], while the most widely adopted approach for implementing TDL TDCs is the use of the arithmetic carry propagation primitive [38].
Recent implementations of TDL-TDC using carry lines have achieved a <10 picosecond resolution [39,40]. However, it is important to note that the arithmetic carry, when implemented in an FPGA, does not exhibit linear delay behavior. As a result, TDL architectures relying on arithmetic carry methods can suffer from high levels of differential and integral non-linearity errors (DNLs and INLs). These errors manifest as some of the tapped delays and do not follow the programmed order, a phenomenon known as “bubble error”. Bubble error directly impacts the precision of TDCs and introduces non-linearities into the measurements. Additional variations can occur due to periodic patterns arising from the Configurable Logic Block (CLB) structure and the clock distribution tree boundaries within the FPGA. It is essential that the cumulative delay in the chain exceeds the period of the coarse counter clock to ensure accurate time measurements. Implementing TDL-TDCs with carry chains leads to higher system complexity and the intensive utilization of FPGA resources. Achieving uniform delays often requires manual routing, which adds additional complexity to the development process. To enhance the intrinsic resolution of the TDL beyond what is limited by the granularity of the basic delay, TDL-TDCs have been used in parallel [41]. By using 125 TDL-TDCs of 323 ps in parallel, a resolution of just 5.8 ps has been achieved [42]. However, the use of several TDCs in parallel considerably increases the use of resources.
The recirculation of the pulse through the TDC is another method [43]. This approach allows for significant enhancements in performance by combining results from multiple measurements. The Wave Union (WU) methods represent an evolution of this concept [44]. In these two methods, the tapped delay is subdivided into smaller segments, improving the resolution through multiple measurements. The WU A method boosted the resolution by 37%, while the WU B method achieved a 75% increase. However, these gains in resolution come at the cost of increased dead time, heightened complexity, and greater resource usage. Furthermore, in FPGAs manufactured with 28 nm or lower, the WU method poses greater challenges [45]. Nonetheless, architectural enhancements have led to subpicosecond resolution achievements [46,47]. Notably, implementing the WU method with DSP blocks has resulted in superior performance [48].
TDL-TDCs, in any of their variations, are intended for high resolution and are not Power, Voltage, and Temperature (PVT) compensated (one exception is the TDL created with IODELAY primitives); they are highly non-linear, which requires calibration, and are highly intensive in the utilization of FPGA resources. TDL-TDCs consume high resources when compared with other architectures with higher efficiencies [39]; nevertheless, recently, some advances have been made in the area of low resources and Parsa et al. developed a TDL-TDC with reduced resource consumption. Although resolution and precision are high (<30 ps), both the non-linearities and the number of resources are still considerable, especially the consumption of BRAM blocks [49,50], which is as high as 90 kB per TDC channel. The implementation has been carried out in an Artix-7 FPGA, where DNLs of 2.13 LSBs have been achieved in the first implementation and 1.18 LSBs in the optimized version (INLs of 3.97 and 2.75 LSBs respectively), with consumptions of 216 LUTs and 678 FFs in both implementations.

Expanding Precision and Resolution beyond the Delay Cell

To enhance the intrinsic resolution of the TDL beyond what is limited by the granularity of the basic delay, TDL-TDCs can be used in parallel [41]. By using 125 TDL-TDCs in parallel at 323 ps, a resolution of just 5.8 ps has been achieved [42]. A parallel multichain cross segmentation method without multitime measurements has also been proposed, achieving a 1.3 ps resolution and a 4.6 ps single-shot precision [51]. The recirculation of the pulse through the TDC is another method [43]. This approach allows for significant enhancements in performance by combining results from multiple measurements. The Wave Union (WU) methods represent an evolution of this concept [44]. In these two methods, the tapped delay is subdivided into smaller segments, improving resolution through multiple measurements. The WU A method boosted the resolution by 37%, while the WU B method achieved a 75% increase. However, these gains in resolution come at the cost of increased dead-time, heightened complexity, and greater resource usage. Furthermore, in FPGAs manufactured with 28 nm or lower, the WU method poses greater challenges [45]. Nonetheless, architectural enhancements have led to subpicosecond resolution achievements [46,47]. Notably, implementing the WU method with DSP blocks has resulted in a superior performance [48]. The most recent breakthrough involves a refinement in the architecture, wherein solely the initial multiplexer is employed to generate the pulse train for Wave Union upon receipt of the start signal. This modification aims to significantly reduce the necessary computational processing, optimizing overall efficiency [52].

5. Vernier Ring Oscillator TDCs

The Vernier TDC is based on a reference delay line and a delayed signal path, both with slightly different propagation delays [53,54]. By comparing the delayed signal with the reference, the TDC determines the time difference between the events through an interpolation-like process, providing enhanced resolution beyond the clock resolution. A subtype of vernier TDCs, the Vernier Ring Oscillator (VRO)-TDC can be implemented in a very efficient manner, reducing the number of resources used [55] (see the basic scheme in Figure 3). The VRO-TDC is based on two external oscillators of different frequencies [28]. The oscillators are controlled with the start and stop signals of the pulse, the duration of which has to be measured. The highest frequency oscillator (with T f period) is initialized by the start signal and the lower frequency oscillator (with T s period) is initialized by the stop signal. After N cycles, both oscillators will be aligned and T i n will be the time difference between the start and stop signals: T i n = N ( T s T f ) . The TDC accuracy is determined by the difference between the periods of the two oscillators. The dead-time is sensibly high as the TDC has to wait until both clocks are aligned. Cui et al. have implemented low-resource VRO-TDCs with similar resolutions (<30 ps) to those achieved by Parsa et al. with TDL-TDCs, with a higher number of registers but with no use of BRAM blocks and better non-linearities [56]. A higher linearity has been achieved with this type of TDC but with a higher consumption of resources [57] (172 LUTs and 986 FFs versus 104 LUTs and 319 FFs of the first implementation).

6. Noise-Shaping TDCs

Σ Δ TDCs have been extensively used to measure the time delay between two repetitive digital signals (or clocks) with high precision. The primary drawback of this technique is its inability to measure a single-event input. An additional limitation arises from the requirement for certain analog components, such as an integrator and a comparator, which complicates the full implementation in FPGAs [58]. Recently, advancements have been achieved in overcoming these challenges. A sophisticated type of Σ Δ TDC, specifically a high-order continuous-time multi-stage noise shaping (MASH) 16 Σ Δ TDC, has been successfully integrated entirely within an FPGA. This was achieved by utilizing a Gated Switched-Ring Oscillator (GSRO) [59]. This integration represents a significant milestone in the field. Despite achieving a remarkably high resolution of 0.18 ps, the implementation by Khaki et al. still involves substantial resource consumption. While only 311 registers are used, the requirement for five phase-locked loops (PLLs) and, notably, 2 Mb of memory, precludes this type of TDC from being classified as low-resource at this stage. The progress in this area is promising, but further optimization is necessary to reduce resource utilization and enhance the feasibility of widespread low-resource FPGA implementation.

7. Gray Code Oscillator TDCs

Wu et al. proposed an innovative TDC architecture base in a free-running gray code oscillator [22]. Instead of using a synchronous counter, a combinational counter is implemented, running much faster, and thus increasing the resolution, reducing the resource utilization and power consumption and providing high scalability and portability. The gray code needs to be used, as being combinational and not having the delay time controlled, only one-bit transition propagates back to the input, so glitches are not generated, which would appear if another type of code were used. The implementation of the gray counter consumes very low resources, which are compensated by the necessary logic to improve the linearity via calibration. The drawbacks of this architecture are that the TDC bins have high non-linearities and that, as is the case with the previous solutions, they are not PVT-compensated. Upgrades in the architecture have been achieved to improve the non-lineareties [60] and the resolution [12]. The latest improvement in this architecture, achieved by Wang et al., has reached a resolution and precision below 40 picoseconds; nevertheless, the use of resources is still high, requiring 437 LUTs, 368 FFs, and 54 kB of RAM per channel in a Virtex-7 FPGA, San Jose, CA, USA [61].

8. Multi-Phase Shift Clock TDCs

The MPSC method utilizes several clocks with the same frequency but different phases, oversampling the TDC input (see Figure 4). Each clock monitors the TDC line, latching the status at the rising edge of the clock and thereby multiplying the resolution achievable by a single clock (see Figure 5). It is resource-efficient, enabling hundreds of channels in one FPGA. The resolution is increased by the number of phases used. The MPSC-TDCs usually have a reduced DNL, as the feature is intrinsic to the architecture and related to the jitter of the clocks. The main drawbacks are the limited number of clock lines the FPGA can support, the fan-out, and, in particular, the skew on the lines from the input signal to the FFs that could be much bigger than the requested resolution. With manual placement and routing, it is possible to improve the skew of the FFs below the TDC resolution, but these methods add complexity to the implementation. If the time path between two consecutive phases is bigger than the LSB, the signal of that specific phase will be sampled at the following clock edge; then, the final timestamp will not match with the real measure. This limits the resolution that can be achieved with MPSC-TDCs.
One of the first implementations of this type of TDC was carried out by Fries et al. [62], who achieved a resolution of 1400 ps and a DNL of 0.5 LSB. A higher resolution, 138 ps, was obtained by Yonggang et al. [63], who used eight clock phases. Balla et al. developed an MPSC-TDC [16] with four phases to obtain an LSB of 625 ps and a precision of 255 ps. The total numbers of LUTs and registers were 68 and 274, respectively. The number of registers included a buffer for 32 measurements (40 bytes in total), although the TDC did not compute the arrival time, which would have increased the consumption of resources. The linearity of the TDC was high, with a DNL of 0.05 LSBs and INL of 0.05 LSBs. A TDC with 16 phases was implemented by Büchele et al. [64], obtaining a resolution of 160 ps and a precision of 64 ps. The number of LUTs consumed by the TDC channel in a Virtex-5 FPGA was 125, while the number of registers was 198. Suwada et al. [65] and Li et al. [66] have implemented 1000 ps resolution TDCs in Spartan-6 FPGAs. Sano et al. have implemented TDCs with a resolution of 280 ps in a Kintex-7 FPGA [67] and of 780 ps in an IGLOO-2 FPGA [68], in the latter case for higher tolerance to irradiation. Jia et al. have achieved a resolution of 138 ps in an Artix-7 FPGA by using a clock of 300 MHz and 24 different phases [69].

8.1. SerDes TDC

A variant of the MPSC-TDC is based on the SerDes, short for Serializer/Deserializer. The SerDes plays a crucial role within an FPGA by converting a high-speed serial data stream into parallel data for reception purposes. The SerDes block can be employed to implement the oversampling method, making it possible to create four sampling phases with a single SerDes. Since the SerDes are integrated hardware components connected to the I/O, they occupy minimal FPGA resources. By multiplexing several SerDes, it is possible to increase the resolution, as it is equivalent to increasing the number of phases.

8.1.1. One-SerDes TDCs

Several TDCs have been implemented with one SerDes. A TDC with a resolution of 1.2 ns using a Stratix FPGA [70] has been created by Fries et al. The jitter in the clock driven by the PLL is specified to be under 200 ps, resulting in a DNL of less than 200 ps. A TDC with a 1 ns resolution [18] using a Kintex-7 FPGA was implemented by Calvo et al., with DNLs of 0.03 LSBs and INLs of 0.12 LSBs. A higher resolution, 100 ps, has been achieved by Kong et al. [71]. The precision achieved is 169 ps, and it has been obtained in a Kintex-7 by using the SerDes to read 10 GBPS signals, which generates a 32-bit array that is needed to identify the rise and fall edges inside. The number of transceivers of 10 GBPS is limited in the FPGAs; therefore, the number of TDC channels that can be implemented using this type of TDC is also limited and cannot be used in all the inputs of the FPGA, as is the case with the usual SerDes.

8.1.2. Two-SerDes TDCs

A TDC with a resolution of 156 ps has been proposed by Xiang et al. [72]. The TDC was implemented with two complementary SerDes working at 800 MHz and with eight different phases separated 45°. The resolution obtained was 56 ps, the INL was 1 LSB, and the DNL was 0.36 LSBs. The resource usage was only 109 LUTs and 238 registers, in addition to the two SerDes, implemented in an Artix-7 FPGA. Bai et al. [73], by using two SerDes and one IODELAY primitive, have achieved a resolution of 803 ps and a precision of 229 ps using only 30 LUTs and 42 FFs. The DNL was also very low (0.05 LSBs).

8.1.3. Four-SerDes TDCs

Four SerDes acquiring in parallel, with the TDC input delayed one quarter of the SerDes acquisition period, have been used to increase the resolution even more, which would be the equivalent of an MPSC-TDC with 16 phases. The TDC developed by Arpin et al. [7] achieved a resolution of 321 ps in a Virtex-4, with a precision of 56 ps. The DNL was 0.3 LSBs and the INL was 1 LSB. A similar resolution was obtained by Imrek et al., who also used a Virtex-4. In this case, the DNLs were slightly higher (0.6 LSB). The latest TDC implementation based on this architecture has a resolution of 100 ps. This TDC has been implemented in a Kintex-7 FPGA using the SerDes working with a 625 MHz clock, which gives a total resolution of 42 ps [74]. In order to achieve a higher resolution, four SerDes TDCs are used in parallel, with the three last TDCs acquiring the signal delayed 100, 200, and 300 ps. The delays between the TDC subsections were achieved utilizing the IODELAY primitive, which allows a granularity in the delays of 39 ps. The main problem related to the use of the IODELAYs is that the IODELAY granular delay reported by Xilinx is only an average, and there exists a significant difference between the delay bins. This leads to high non-linearities, which are not reported in this work. The granularity of the IODELAY decreases in the new families, where it is expected that this architecture will increase the linearity. In any case, the main drawback of this architecture is the number of SerDes available in an FPGA, which is one per IO pin, so the use of four SerDes limits the number of TDCs that can be implemented to one quarter of the FPGA inputs.

9. Two-Stage Interpolation TDCs

The use of two-stage interpolation in TDCs has been studied as it can increase the resolution while the resources are kept low. In the first stage, the TDC performs a coarse measurement of the time interval. This is usually done with a lower resolution and is faster. The coarse measurement provides a rough estimate of the time interval, which is then used to narrow down the range for the second stage. In the second stage, the TDC performs a fine measurement of the time interval within the range provided by the coarse measurement. This stage uses a higher resolution method to achieve greater accuracy. Techniques such as delay line or Vernier methods are often employed here. By dividing the measurement into two stages, the TDC can achieve very high resolution and precision. The coarse stage provides a broad measurement, while the fine stage refines this measurement to a much higher degree of accuracy. The coarse stage typically consumes less power because it operates at a lower resolution and can perform the initial measurement quickly. The fine stage, while more power-intensive, only needs to operate for a shorter period within a reduced range. The two-stage approach allows the TDC to quickly get a rough measurement before spending more time and resources on refining the measurement. This can improve the overall speed of the conversion process. On the other hand, implementing a two-stage TDC is more complex than a single-stage TDC. It requires careful calibration and synchronization between the two stages to ensure accurate measurements. Dong et al. [75] have designed a TDC based on SerDes that increases the resolution with an additional TDL. The resolution obtained is 78.13 ps and the precision is 35 ps, while, in addition to the SerDes, 199 LUTs and 347 registers are used. Instead, the DNL and INL are somewhat higher at 0.8 and 0.94 LSBs, respectively.Real et al. [76] have implemented an MPSC-TDC with four phases that has been expanded with a one-tap delay by using the IODELAYs of Artix-7. The resolution achieved is 416 ps and the precision is 186 ps. The non-linearities are low (DNL 0.2 and INL 0.15 LSB) while the use of resources is quite contained: 102 LUTs and 115 registers. A significant advantage of this architecture, in addition to the low resources, is that the TDC is PVT-controlled. An additional architecture based on two-stage interpolation is the one developed by Wang et al. [77], which uses as first interpolator a GCO-TDC and expands it with a TDL of CARRY4s. The resolution achieved in a Virtex-7 is 10 ps, with a precision of 19.81 ps. The DNLs and INLs are high at 2.85 and 13.61 LSBs, respectively. The resource consumption is 293 LUTs and 385 registers. A drawback of this implementation is that is not PVT-compensated, as happens with both the TDL based on CARRY4 and the GCO TDCs.

10. Discussion

The TDL-TDCs provided the highest precision but their main drawback is that resource consumption is not as reduced as with other architectures. This can be explained by the number of registers needed to latch the TDL. They also present high non-linearities, which requires calibration of the TDC bins. Additionally, the delays are not PVT-compensated. An exception to this is if the IODELAY is used; however, in this case, the number of taps is limited by the IOs of the FPGA. VRO-TDCs have similar characteristics to TDL-TDCs, with a somewhat higher use of resources but with lower non-linearities. NS TDCs have been recently implemented completely, in particular a Σ Δ MASH TDC; however, the consumption of resources should still be improved. The GCO TDCs are a novel architecture that provide high resolution and precision, as well as low non-linearities with moderate use of resources. MPSC-TDCs are the most resource-effective technology, with generally low non-linearities and moderate resolution and precision. When the SerDes of the FPGA are used, the resource consumption can be reduced even more. Some combinations of interpolators achieve high resolutions, while the use of resources is kept at a minimum. Especially promising is the use of IODELAYs as the second interpolator, as this allows an increase of the resolution by tapped delays which are PVT-compensated. This feature is expected to improve performances in the latest version of FPGAs with the same consumption of resources, as both the granularity of the delay taps and the operation frequency are greater. The integration of the IODELAY architecture with an MPSC-TDC implemented using SerDes holds promising prospects for high efficiency, primarily due to the utilization of specific hardware resources rather than FPGA logic. This approach capitalizes on the strengths of both technologies to achieve an optimal performance while conserving resources. This study has exhaustively examined the principal methods for implementing TDCs in FPGAs, elucidating their respective advantages and drawbacks, as summarized in Table 1. These findings have been succinctly summarized in Table 2, providing a convenient reference for comparing and contrasting the various approaches.

11. Conclusions

There is a growing need for TDC channels implemented in FPGAs. This work has reviewed the heterogeneous methods to implement low-resource TDCs, while critically evaluating the benefits and drawbacks of each approach, focusing on factors such as resolution, accuracy, non-linearities, and, particularly, resource utilization. By critically evaluating each approach, this research furnishes a comprehensive overview of the existing studies on low-resource TDCs. Through this, it offers valuable insights into the progression of low-resource TDCs, thereby offering a comprehensive overview of progress in the field.

Author Contributions

Conceptualization, D.R. and D.C.; methodology, D.R.; validation, D.R. and D.C.; formal analysis, D.R.; investigation, D.R. and D.C.; resources, D.R.; writing—original draft preparation, D.R.; writing—review and editing, D.R.; visualization, D.R. and D.C.; project administration, D.R.; funding acquisition, D.R. All authors have read and agreed to the published version of the manuscript.

Funding

The authors acknowledge the financial support of the Ministerio de Ciencia e Innovación for PID2021-124591NB-C41 and PDC2023-145913-I00, funded by MCIN/AEI/10.13039/501100011033 and by “ERDF A way of making Europe”, for ASFAE/2022/014, ASFAE/2022/023 and AST22_6.2. We also acknowledge funding from the EU NextGenerationEU (PRTR-C17.I01), PDC2023-145913-I00, CSIC-INFRA23013, Generalitat Valenciana for CIDEGENT/2018/034, /2019/043, /2020/049, /2021/23, for CIDEIG/2023/20 and for GRISOLIAP/2021/192 and EU for MSC/101025085, Spain.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Dataset available on request from the authors.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Roberts, G.W.; Ali-Bakhshian, M. A Brief Introduction to Time-to-Digital and Digital-to-Time Converters. IEEE Trans. Circuits Syst. II Express Briefs 2010, 57, 153–157. [Google Scholar] [CrossRef]
  2. Qiu, L.; Zheng, Y.; Siek, L. Multichannel Time Skew Calibration for Time-Interleaved ADCs Using Clock Signal. Circuits Syst. Signal Process. 2015, 35, 2669–2682. [Google Scholar] [CrossRef]
  3. Guimarães, L.A. Testing Techniques for Detection of Hardware Trojans in Integrated Circuits of Trusted Systems. Ph.D. Thesis, Micro and Nanotechnologies/Microelectronics, Université Grenoble Alpes, Grenoble, France, 2018. [Google Scholar]
  4. Aguilar, A.; García-Olcina, R.; Martinez, P.A.; Martos, J.; Soret, J.; Benlloch, J.M.; Gonzalez, A.J.; Sanchez, F. Time of flight measurements based on FPGA using a breast dedicated PET. J. Instrum. 2014, 9, C05012. [Google Scholar] [CrossRef]
  5. Moses, W. Time of flight in PET revisited. IEEE Trans. Nucl. Sci. 2003, 50, 1325–1330. [Google Scholar] [CrossRef]
  6. Imrek, J.; Hegyesi, G.; Kalinka, G.; Molnar, J.; Nagy, F.; Valastyan, I.; Szabo, Z. FPGA based TDC using Virtex-4 ISERDES blocks. In Proceedings of the IEEE Nuclear Science Symposium and Medical Imaging Conference, Knoxville, TN, USA, 30 October–6 November 2010; pp. 1413–1415. [Google Scholar] [CrossRef]
  7. Arpin, L.; Bergeron, M.; Tetrault, M.A.; Lecomte, R.; Fontaine, R. A Sub-Nanosecond Time Interval Detection System Using FPGA Embedded I/O Resources. IEEE Trans. Nucl. Sci. 2010, 57, 519–524. [Google Scholar] [CrossRef]
  8. Song, B.; Zheng, W. The Research of Precision Timing Measurement in Application of TDC GP2 in Laser Ranging. In Proceedings of the International Symposium on Photoelectronic Detection and Imaging 2013: Laser Sensing and Imaging and Applications, Beijing, China, 25–27 June 2013; Volume 8905, p. 890523. [Google Scholar] [CrossRef]
  9. Tyndall, D.; Rae, B.R.; Li, D.D.U.; Arlt, J.; Johnston, A.; Richardson, J.A.; Henderson, R.K. A High-Throughput Time-Resolved Mini-Silicon Photomultiplier with Embedded Fluorescence Lifetime Estimation in 0.13 um CMOS. IEEE Trans. Biomed. Circuits Syst. 2012, 6, 562–570. [Google Scholar] [CrossRef]
  10. Zwirello, L.; Schipper, T.; Jalilvand, M.; Zwick, T. Realization Limits of Impulse-Based Localization System for Large-Scale Indoor Applications. IEEE Trans. Instrum. Meas. 2015, 64, 39–51. [Google Scholar] [CrossRef]
  11. De Angelis, A.; Dwivedi, S.; Händel, P. Characterization of a Flexible UWB Sensor for Indoor Localization. IEEE Trans. Instrum. Meas. 2013, 62, 905–913. [Google Scholar] [CrossRef]
  12. Araújo, S.; Machado, R.; Cabral, J. Double-sampling Gray TDC with a ROS Interface for a LiDAR System. In Proceedings of the 2021 7th International Conference on Event-Based Control, Communication, and Signal Processing (EBCCSP), Krakow, Poland, 22–25 June 2021; pp. 1–8. [Google Scholar] [CrossRef]
  13. Arai, Y. TDC Architecture Study for the ATLAS Muon Tracker. In Proceedings of the Electronics for LHC Experiments, Proceedings, 3rd Workshop, London, UK, 22–26 September 1997. [Google Scholar]
  14. Carrió, F. Upgrading the ATLAS Tile Calorimeter Electronics. EPJ Web Conf. 2013, 60, 20057. [Google Scholar] [CrossRef]
  15. Bifulco, P.; Izzo, V.; Mastroianni, S.; Aloisio, A.; Giordano, R.; Ameli, F.; Bocci, V.; Cadeddu, S.; Casu, L.; Lai, A.; et al. A fully-digital and fully-synthetizable TDC for high energy physics experiments. In Proceedings of the Second International Conference on Event-based Control, Communication, and Signal Processing (EBCCSP), Krakow, Poland, 13–15 June 2016; pp. 1–4. [Google Scholar] [CrossRef]
  16. Balla, A.; Beretta, M.; Ciambrone, P.; Gatta, M.; Gonnella, F.; Iafolla, L.; Mascolo, M.; Messi, R.; Moricciani, D.; Riondino, D. The characterization and application of a low resource FPGA-based time to digital converter. Nucl. Instruments Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2014, 739, 75–82. [Google Scholar]
  17. Hazen, E.S.; Alexander, C.M.; Anderson, E.W.; Aoki, T.; Berns, H.G.; Berson, U.; Bosetti, P.C.; Bolesta, P.E.; Boynton, P.E. The DUMAND-II digitizer. In Proceedings of the 23rd International Cosmic Ray Conference Proceedings, Calgary, AB, Canada, 19–30 July 1993. [Google Scholar]
  18. Aiello, S.; Albert, A.; Alves Garre, S.; Aly, Z.; Ameli, F.; Andre, M.; Androulakis, G.; Anghinolfi, M.; Anguita, M.; Anton, G. Architecture and performance of the KM3NeT front-end firmware. J. Astron. Telesc. Instrum. Syst. 2021, 7, 016001. [Google Scholar] [CrossRef]
  19. Aiello, S.; Ameli, F.; Margiotta, A.; Andre, M.; Androulakis, G.; Anghinolfi, M.; Marinelli, A.; Anton, G.; Ardid, M.; Markou, C. KM3NeT front-end and readout electronics system: Hardware, firmware and software. J. Astron. Telesc. Instrum. Syst. 2019, 5, 046001. [Google Scholar] [CrossRef]
  20. Portaluppi, D.; Pasquinelli, K.; Cusini, I.; Zappa, F. Multi-Channel FPGA Time-to-Digital Converter with 10 ps Bin and 40 ps FWHM. IEEE Trans. Instrum. Meas. 2022, 71, 1–9. [Google Scholar] [CrossRef]
  21. Yuan, Q.; Zhang, B.; Wu, J.; Zaghloul, M.E. A high resolution time-to-digital converter on FPGA for Time-Correlated Single Photon Counting. In Proceedings of the 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), Boise, ID, USA, 5–8 August 2012; pp. 900–903. [Google Scholar] [CrossRef]
  22. Wu, J.; Xu, J. A Novel TDC Scheme: Combinatorial Gray Code Oscillator Based TDC for Low Power and Low Resource Usage Applications. In Proceedings of the 2019 5th International Conference on Event-Based Control, Communication, and Signal Processing (EBCCSP), Vienna, Austria, 27–29 May 2019; pp. 1–7. [Google Scholar] [CrossRef]
  23. Tontini, A.; Gasparini, L.; Pancheri, L.; Passerone, R. Design and Characterization of a Low-Cost FPGA-Based TDC. IEEE Trans. Nucl. Sci. 2018, 65, 680–690. [Google Scholar] [CrossRef]
  24. Caponio, F.; Abba, A.; Lusardi, N.; Geraci, A. A high-precision wave union TDC implementation in FPGA. In Proceedings of the 2013 IEEE Nuclear Science Symposium and Medical Imaging Conference (2013 NSS/MIC), Seoul, Republic of Korea, 27 October–2 November 2013; pp. 1–4. [Google Scholar]
  25. Favi, C.; Charbon, E. A 17ps time-to-digital converter implemented in 65nm FPGA technology. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA, USA, 23–25 February 2009; pp. 113–120. [Google Scholar]
  26. Lusardi, N.; Palmucci, A.; Geraci, A. Fully-migratable TDC architecture for FPGA devices. In Proceedings of the 2016 IEEE Nuclear Science Symposium, Medical Imaging Conference and Room-Temperature Semiconductor Detector Workshop (NSS/MIC/RTSD), Strasbourg, France, 29 October–6 November 2016; pp. 1–3. [Google Scholar]
  27. Lusardi, N.; Garzetti, F.; Cibin, M.; Sury, R.; Geraci, A. Hardware and software co-design of a system-on-chip for real-time bidirectional transfer and processing of data from a time-to-digital converter. In Proceedings of the 2017 IEEE Nuclear Science Symposium and Medical Imaging Conference (NSS/MIC), Atlanta, GA, USA, 21–28 October 2017; pp. 1–6. [Google Scholar]
  28. Abbas, M.; Khalil, K. A 23ps resolution Time-to-Digital converter implemented on low-cost FPGA platform. In Proceedings of the 2015 International Symposium on Signals, Circuits and Systems (ISSCS), Iasi, Romania, 9–10 July 2015; pp. 1–4. [Google Scholar] [CrossRef]
  29. Wang, H.; Zhang, M.; Yao, Q. A new realization of time-to-digital converters based on FPGA internal routing resources. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2013, 60, 1787–1795. [Google Scholar] [CrossRef]
  30. Pan, W.; Gong, G.; Li, J. A 20-ps Time-to-Digital Converter (TDC) Implemented in Field-Programmable Gate Array (FPGA) with Automatic Temperature Correction. IEEE Trans. Nucl. Sci. 2014, 61, 1468–1473. [Google Scholar] [CrossRef]
  31. Nutt, R. Digital time intervalometer. Rev. Sci. Instrum. 1968, 39, 1342–1345. [Google Scholar] [CrossRef]
  32. Liu, C.; Wang, Y. A 128-Channel, 710 M Samples/Second, and Less Than 10 ps RMS Resolution Time-to-Digital Converter Implemented in a Kintex-7 FPGA. IEEE Trans. Nucl. Sci. 2015, 62, 773–783. [Google Scholar] [CrossRef]
  33. Machado, R.; Cabral, J.; Alves, F.S. Recent Developments and Challenges in FPGA-Based Time-to-Digital Converters. IEEE Trans. Instrum. Meas. 2019, 68, 4205–4221. [Google Scholar] [CrossRef]
  34. Andaloussi, M.; Boukadoum, M.; Aboulhamid, E. A novel time-to-digital converter with 150 ps time resolution and 2.5 ns pulse-pair resolution. In Proceedings of the 14th International Conference on Microelectronics, Beirut, Lebanon, 11–13 December 2002; pp. 123–126. [Google Scholar] [CrossRef]
  35. Chen, Y.C.; Chang, H.C.; Chen, H. Two-Dimensional Multiply-Accumulator for Classification of Neural Signals. IEEE Access 2018, 6, 19714–19725. [Google Scholar] [CrossRef]
  36. Weber, A.A. Development of Readout Electronics for the RICH Detector in the HADES and CBM Experiments—HADES RICH Upgrade, mRICH Detector Construction and Analysis. Ph.D. Thesis, Universitätsbibliothek Giessen, Giessen, Germany, 2021. [Google Scholar] [CrossRef]
  37. Kwiatkowski, P. Employing FPGA DSP blocks for time-to-digital conversion. Metrol. Meas. Syst. 2019, 26, 631–643. [Google Scholar] [CrossRef]
  38. Adamič, M.; Trost, A. A Fast High-Resolution Time-to-Digital Converter Implemented in a Zynq 7010 SoC. In Proceedings of the 2019 Austrochip Workshop on Microelectronics (Austrochip), Vienna, Austria, 24 October 2019; pp. 29–34. [Google Scholar] [CrossRef]
  39. Choi, K.J.; Jee, D.W. Design and Calibration Techniques for a Multichannel FPGA-Based Time-to-Digital Converter in an Object Positioning System. IEEE Trans. Instrum. Meas. 2021, 70, 1–9. [Google Scholar] [CrossRef]
  40. Wang, Y.; Xie, W.; Chen, H.; Li, D.D.U. Multichannel Time-to-Digital Converters With Automatic Calibration in Xilinx Zynq-7000 FPGA Devices. IEEE Trans. Ind. Electron. 2022, 69, 9634–9643. [Google Scholar] [CrossRef]
  41. Chaberski, D.; Frankowski, R.; Gurski, M.; Zieliński, M. Comparison of Interpolators Used for Time-Interval Measurement Systems Based on Multiple-Tapped Delay Line. Metrol. Meas. Syst. 2017, 24, 401–412. [Google Scholar] [CrossRef]
  42. Chaberski, D. Time-to-digital-converter based on multiple-tapped-delay-line. Measurement 2016, 89, 87–96. [Google Scholar] [CrossRef]
  43. Lusardi, N.; Garzetti, F.; Geraci, A. The role of sub-interpolation for Delay-Line Time-to-Digital Converters in FPGA devices. Nucl. Instrum. Meth. A 2019, 916, 204–214. [Google Scholar] [CrossRef]
  44. Wu, J.; Shi, Z. The 10-ps wave union TDC: Improving FPGA TDC resolution beyond its cell delay. In Proceedings of the 2008 IEEE Nuclear Science Symposium Conference Record, Dresden, Germany, 19–25 October 2008; pp. 3440–3446. [Google Scholar] [CrossRef]
  45. Wang, Y.; Liu, C. A 3.9 ps Time-Interval RMS Precision Time-to-Digital Converter Using a Dual-Sampling Method in an UltraScale FPGA. IEEE Trans. Nucl. Sci. 2016, 63, 2617–2621. [Google Scholar] [CrossRef]
  46. Kwiatkowski, P.; Sondej, D.; Szplet, R. Subpicosecond resolution time interval counter with multisampling wave union type B TDCs in 28 nm FPGA device. Measurement 2023, 209, 112510. [Google Scholar] [CrossRef]
  47. Wang, Y.; Xie, W.; Chen, H.; Day-Uei Li, D. High-resolution time-to-digital converters (TDCs) with a bidirectional encoder. Measurement 2023, 206, 112258. [Google Scholar] [CrossRef]
  48. Tancock, S.; Rarity, J.; Dahnoun, N. The Wave-Union Method on DSP Blocks: Improving FPGA-Based TDC Resolutions by 3x with a 1.5x Area Increase. IEEE Trans. Instrum. Meas. 2022, 71, 1–11. [Google Scholar] [CrossRef]
  49. Parsakordasiabi, M.; Vornicu, I.; Rodríguez-Vázquez, A.; Carmona-Galán, R. A Low-Resources TDC for Multi-Channel Direct ToF Readout Based on a 28-nm FPGA. Sensors 2021, 21, 308. [Google Scholar] [CrossRef] [PubMed]
  50. Parsakordasiabi, M.; Vornicu, I.; Rodríguez-Vázquez, A.; Carmona-Galán, R. An Efficient TDC Using a Dual-Mode Resource-Saving Method Evaluated in a 28-nm FPGA. IEEE Trans. Instrum. Meas. 2022, 71, 1–13. [Google Scholar] [CrossRef]
  51. Mao, X.; Yang, F.; Wei, F.; Shi, J.; Cai, J.; Cai, H. A Low Temperature Coefficient Time-to-Digital Converter with 1.3 ps Resolution Implemented in a 28 nm FPGA. Sensors 2022, 22, 2306. [Google Scholar] [CrossRef]
  52. Alshahry, S.M.; Alshehry, A.H.; Alhazmi, A.K.; Chodavarapu, V.P. A Size, Weight, Power, and Cost-Efficient 32-Channel Time to Digital Converter Using a Novel Wave Union Method. Sensors 2023, 23, 6621. [Google Scholar] [CrossRef]
  53. Yuan, F. CMOS Time-Mode Circuits and Systems: Fundamentals and Applications; CRC Press: Boca Raton, FL, USA, 2016. [Google Scholar]
  54. Amiri, A.M.; Boukadoum, M.; Khouas, A. A Multihit Time-to-Digital Converter Architecture on FPGA. IEEE Trans. Instrum. Meas. 2009, 58, 530–540. [Google Scholar] [CrossRef]
  55. Chan, A.; Roberts, G. A jitter characterization system using a component-invariant Vernier delay line. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2004, 12, 79–95. [Google Scholar] [CrossRef]
  56. Cui, K.; Li, X.; Liu, Z.; Zhu, R. Toward Implementing Multichannels, Ring-Oscillator-Based, Vernier Time-to-Digital Converter in FPGAs: Key Design Points and Construction Method. IEEE Trans. Radiat. Plasma Med Sci. 2017, 1, 391–399. [Google Scholar] [CrossRef]
  57. Cui, K.; Li, X. A High-Linearity Vernier Time-to-Digital Converter on FPGAs with Improved Resolution Using Bidirectional-Operating Vernier Delay Lines. IEEE Trans. Instrum. Meas. 2020, 69, 5941–5949. [Google Scholar] [CrossRef]
  58. Uemori, S.; Ishii, M.; Kobayashi, H.; Hirabayashi, D.; Arakawa, Y.; Doi, Y.; Kobayashi, O.; Matsuura, T.; Niitsu, K.; Yano, Y.; et al. Multi-bit Sigma-Delta TDC Architecture with Improved Linearity. J. Electron. Test. 2013, 29, 879–892. [Google Scholar] [CrossRef]
  59. Khaki, A.M.Z.; Farshidi, E.; Asl, K.A.; Ali, S.H.M.; Othman, M. Design and Analysis of a Multirate 5-bit High-Order 52 fs(rms) Sigma-Delta Time-to-Digital Converter Implemented on 40 nm Altera Stratix IV FPGA. IEEE Access 2021, 9, 128117–128125. [Google Scholar] [CrossRef]
  60. Machado, R.; Alves, F.S.; Cabral, J. Gray-Code TDC with Improved Linearity and Scalability for LiDAR applications. In Proceedings of the 2020 6th International Conference on Event-Based Control, Communication, and Signal Processing (EBCCSP), Krakow, Poland, 23–25 September 2020; pp. 1–8. [Google Scholar] [CrossRef]
  61. Wang, Y.; Xie, W.; Chen, H.; Li, D.D. Low-Hardware Consumption, Resolution-Configurable Gray Code Oscillator Time-to-Digital Converters Implemented in 16 nm, 20 nm, and 28 nm FPGAs. IEEE Trans. Ind. Electron. 2023, 70, 4256–4266. [Google Scholar] [CrossRef]
  62. Fries, M.D.; Williams, J. High-precision TDC in an FPGA using a 192 MHz quadrature clock. In Proceedings of the 2002 IEEE Nuclear Science Symposium Conference Record, Norfolk, VA, USA, 10–16 November 2002; Volume 1, pp. 580–584. [Google Scholar]
  63. Yonggang, W.; Chong, L.; Wensong, Z. Two novel designs of multi-phase clocked ultra-high speed time counter on FPGA for TDC implementation. In Proceedings of the 2013 IEEE Nuclear Science Symposium and Medical Imaging Conference (2013 NSS/MIC), Seoul, Republic of Korea, 26 October–2 November 2013; pp. 1–4. [Google Scholar] [CrossRef]
  64. Büchele, M.; Fischer, H.; Herrmann, F.; Königsmann, K.; Schill, C.; Schopferer, S. The GANDALF 128-Channel Time-to-Digital Converter. Phys. Procedia 2012, 37, 1827–1834. [Google Scholar] [CrossRef]
  65. Suwada, T.; Miyahara, F.; Furukawa, K.; Shoji, M.; Ikeno, M.; Tanaka, M. Wide dynamic range FPGA-based TDC for monitoring a trigger timing distribution system in linear accelerators. Nucl. Instruments Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2015, 786, 83–90. [Google Scholar] [CrossRef]
  66. Li, Z.; Li, Q.; Chang, J.; Ma, Y.; Liu, P.; Wang, Z.; Hu, M.; Zhao, J.; Alp, E.E.; Xu, W.; et al. Development of an integrated four-channel fast avalanche-photodiode detector system with nanosecond time resolution. Nucl. Instruments Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2017, 870, 43–49. [Google Scholar] [CrossRef]
  67. Sano, Y.; Tomoto, M.; Horii, Y.; Sasaki, O.; Uchida, T.; Ikeno, M. Development of a sub-nanosecond time-to-digital converter based on a field-programmable gate array. J. Instrum. 2016, 11, C03053. [Google Scholar] [CrossRef]
  68. Sano, Y.; Horii, Y.; Ikeno, M.; Kawaguchi, T.; Mizukoshi, K.; Sasaki, O.; Shukutani, K.; Tomoto, M.; Uchida, T. Performances of typical high energy physics applications in flash-based field-programmable gate array under gamma irradiation. J. Instrum. 2017, 12, C04002. [Google Scholar] [CrossRef]
  69. Jia, Y.; Wang, C.; Shi, H.; Liu, X. Multi-channel high precision time digital converter system based on equivalent pulse counting. In Proceedings of the 2018 Chinese Control And Decision Conference (CCDC), Shenyang, China, 9–11 June 2018; pp. 5933–5938. [Google Scholar] [CrossRef]
  70. Bogdan, M.; DeMaat, R.; Klein, R.; Miao, T.; Wilson, P.; Phillips, T.J. A 96-channel FPGA-based Time-to-Digital Converter (TDC) and fast trigger processor module with multi-hit capability and pipeline. Nucl. Instruments Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2005, 554, 444–457. [Google Scholar] [CrossRef]
  71. Kong, D.; Fu, Z.; Dang, H.; Liu, H.; Tang, X.; Wu, K. A Novel Design of FPGA-TDC Based on SerDes. In Proceedings of the 2023 IEEE Autotestcon, National Harbor, MD, USA, 28–31 August 2023; pp. 1–7. [Google Scholar] [CrossRef]
  72. Xiang, T.; Zhao, L.; Jin, X.; Wang, T.; Chu, S.; Ma, C.; Liu, S.; An, Q. A 56-ps multi-phase clock time-to-digital convertor based on Artix-7 FPGA. In Proceedings of the 2014 19th IEEE-NPSS Real Time Conference, Nara, Japan, 26–30 May 2014; pp. 1–4. [Google Scholar] [CrossRef]
  73. Bai, Y.; Gaisbauer, D.; Huber, S.; Konorov, I.; Levit, D.; Steffen, D.; Paul, S. Intelligent FPGA Data Acquisition Framework. IEEE Trans. Nucl. Sci. 2017, 64, 1219–1224. [Google Scholar] [CrossRef]
  74. Finogeev, D.; Guber, F.; Izvestnyy, A.; Karpushkin, A.; Makhnev, A.; Morozov, S.; Serebryakov, D. Development of a 100 ps TDC based on a Kintex 7 FPGA for the high granular neutron time-of-flight detector for the BM@N experiment. Nucl. Instruments Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2024, 1059, 168952. [Google Scholar] [CrossRef]
  75. Dong, X.; Ma, C.; Zhao, X.; Li, X.; Huang, Z. A high resolution multi-phase clock Time-Digital Convertor implemented on Kintex-7 FPGA. J. Instrum. 2020, 15, T11005. [Google Scholar] [CrossRef]
  76. Real, D.; Calvo, D.; Manzaneda, M.; Díaz, A.; Gozzini, R.; Zornoza, J.D.; Ricolfe-Viala, C.; Lajara, R. Novel hybrid low-resource Field-Programmable-Gate-Array time-to-digital-converter architecture. Prepint-Techrxiv 2024. [Google Scholar] [CrossRef]
  77. Wang, Y.; Xie, W.; Chen, H.; Pei, C.; Day-Uei Li, D. A Two-Stage Interpolation Time-to-Digital Converter Implemented in 20 and 28 N·m FGPAs. IEEE Trans. Ind. Electron. 2024, 71, 15200–15210. [Google Scholar] [CrossRef]
  78. Lusardi, N.; Garzetti, F.; Costa, A.; Ronconi, E.; Gerac, A. From Multiphase to Novel Single-Phase Multichannel Shift-Clock Fast Counter Time-to-Digital Converter. IEEE Trans. Ind. Electron. 2023, 71, 9886–9894. [Google Scholar] [CrossRef]
  79. Szplet, R.; Kwiatkowski, P.; Tyburski, J. Precise Time Digitizer Based on Counting Method and Multiphase In-Period Interpolation. In Proceedings of the 2019 Joint Conference of the IEEE International Frequency Control Symposium and European Frequency and Time Forum (EFTF/IFC), Orlando, FL, USA, 14–18 April 2019; pp. 1–3. [Google Scholar] [CrossRef]
Figure 1. Timeline of a TDC based on a counter. The resolution is limited by the period of the clock.
Figure 1. Timeline of a TDC based on a counter. The resolution is limited by the period of the clock.
Sensors 24 05512 g001
Figure 2. Basic TDL architecture. The delay line goes through a line of FFs which are clocked with the same clock.
Figure 2. Basic TDL architecture. The delay line goes through a line of FFs which are clocked with the same clock.
Sensors 24 05512 g002
Figure 3. Vernier Ring Oscillator TDC basic scheme. The resolution is determined by the frequency difference between the two oscillators.
Figure 3. Vernier Ring Oscillator TDC basic scheme. The resolution is determined by the frequency difference between the two oscillators.
Sensors 24 05512 g003
Figure 4. Oversampling scheme: the basis of the MPSC-TDC. The resolution is increased by the number of phases.
Figure 4. Oversampling scheme: the basis of the MPSC-TDC. The resolution is increased by the number of phases.
Sensors 24 05512 g004
Figure 5. Oversampling timeline. In this case, the basic counter resolution is expanded by four by using the rising and falling edges of two clock signals shifted 180°.
Figure 5. Oversampling timeline. In this case, the basic counter resolution is expanded by four by using the rising and falling edges of two clock signals shifted 180°.
Sensors 24 05512 g005
Table 1. State-of-the-art TDC implementation comparison. For each of the works, the resolution, precision, non-linearities, and resource consumption are presented. The works are organized by the architecture used in the implementation of the TDC. Note than the PLLs of the MPSC TDCs are not included.
Table 1. State-of-the-art TDC implementation comparison. For each of the works, the resolution, precision, non-linearities, and resource consumption are presented. The works are organized by the architecture used in the implementation of the TDC. Note than the PLLs of the MPSC TDCs are not included.
WorkRes. (ps)Pre. (ps)DNL/INL(LSB)LUTsFFsPrimitiveBRAM (kB)FPGA
NS
Kha-21 [59]0.18NRNR/NRNR3115 PLL2000Stratix-IV
TDL
Choi-21 [39]4.888.030.51/0.512962415700Artix-7
Pars-21 [49]22.226.042.13/3.97216678090Artix-7
Pars-22 [50]22.122.351.18/2.75216678090Artix-7
Lusa-23 [78]156.25930.23/0.2629544600Artix-7
Lusa-23 [78]312.5930.26/0.223843100Artix-7
Lusa-23 [78]625255.50.045/0.04521233600Artix-7
VRO
Cui-17 [56][23, 37][32, 39]0.8/1.710431900Virtex-6
Cui-20 [57]24.5280.45/0.8517298600Virtex-6
GCO
Wu-19 [22]2561601.25/NRNRNR0NRKintex-7
Mach-20 [60]380.92900.76/0.71NRNR0NRVirtex-7
Arau-21 [12]6954.991.76/1.5NRNR0NRUltrascale+
Wang-23 [61]20.9717.110.087/0.224455368054Ultrascale+
Wang-23 [61]36.0127.370.102/0.262453367054Ultrascale
Wang-23 [61]34.8432.330.078/0.203437368054Virtex-7
Wang-23 [61]256.41N/R0.65/3.1380333054Virtex-7
MPSC
Frie-02 [62]14007500.4/NRNRNR00Virtex-5
Buch-12 [64]160640.4/NR12519800Virtex-5
Wang-13 [63]138NR0.29/NRNRNR00Virtex-5
Ball-14 [16]6252550.05/0.056827400Virtex-5
Suwa-15 [65]10005000.52/0.39NRNR00Spartan-6
Sano-16 [67]280NR0.28/0.3NRNR00Kintex-7
Sano-17 [68]7803500.53/2.8NRNR00IGLOO-2
Li-17 [66]1000430NR/NRNRNR00Spartan-6
Jia-18 [69]13873.6NR/NRNRNR00Artix-7
Szplet-19 [79]43361.91/NRNRNR00Kintex-7
MPSC SerDes
Bogd-05 [70]120012000.17/NRNRNR1 SER0Stratix
Calv-21 [18]1000NR0.03/0.12NRNR1 SER0Kintex-7
Kong-23 [71]100169NR/NRNRNR1 SER0Kintex-7
Xian-14 [72]156560.32/11092382 SER0Artix-7
Bai-17 [73]8032290.05/NR30422 SER 1 IOD0Artix-7
Arpi-10 [7]321.5NR0.3/0.65NRNR4 SER 4 IOD0Virtex-4
Imre-10 [6]312NR0.6/NRNRNR4 SER 4 IOD0Virtex-4
Fino-24 [74]100420.35/NRNRNR4 SER 3 IOD0Artix-7
Two-stage
Dong-20 [75]78.13350.8/0.941993471 SER 1 IOD0Kintex-7
Wang-24 [77]10.0519.812.85/13.6129338500Virtex-7
Wang-24 [77]4.5722.884.36/18.2644057000Ultrascale
Real-24 [76]415.841860.2/0.151021151 IOD0Artix-7
Table 2. Low-resource TDC architectures: advantages and drawbacks.
Table 2. Low-resource TDC architectures: advantages and drawbacks.
TypeAdvantagesDrawbacks
TDLHigh-resolutionCalibration
PVT
Resource consumption
TDL:IODELAYResource-efficientModerate resolution
PVT-compensatedLimited number
Delay granularity
VROHigh-resolutionResource consumption
slightly linear
high dead-time
GCOResource-efficientCalibration
PVT
MPSCResource-efficientModerate resolution
Highly linear
MPSC:SerDesResource-efficientModerate resolution
Highly linearLimited number
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Real, D.; Calvo, D. Low-Resource Time-to-Digital Converters for Field Programmable Gate Arrays: A Review. Sensors 2024, 24, 5512. https://doi.org/10.3390/s24175512

AMA Style

Real D, Calvo D. Low-Resource Time-to-Digital Converters for Field Programmable Gate Arrays: A Review. Sensors. 2024; 24(17):5512. https://doi.org/10.3390/s24175512

Chicago/Turabian Style

Real, Diego, and David Calvo. 2024. "Low-Resource Time-to-Digital Converters for Field Programmable Gate Arrays: A Review" Sensors 24, no. 17: 5512. https://doi.org/10.3390/s24175512

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop