Next Article in Journal
Gender Aspects in Driving Style and Its Impact on Battery Ageing
Previous Article in Journal
Influencing Factors of Shear Wave Radiation of a Dipole Source in a Fluid-Filled Borehole
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips

School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 06974, Korea
*
Author to whom correspondence should be addressed.
Energies 2022, 15(18), 6780; https://doi.org/10.3390/en15186780
Submission received: 10 August 2022 / Revised: 5 September 2022 / Accepted: 7 September 2022 / Published: 16 September 2022

Abstract

:
As the demand for ultra-low-power (ULP) devices has increased tremendously, system-on-chip (SoC) designs based on ultra-low-voltage (ULV) operation have been receiving great attention. Moreover, research has shown the remarkable potential that even more power savings can be achieved in ULV SoCs by exploiting the temperature effect inversion (TEI) phenomenon, i.e., the delay of the ULV SoCs decreases with increasing temperature. However, TEI-aware low-power (TEI-LP) techniques have a critical limitation in practical terms, in that dedicated power management-integrated circuits (PMICs) have not yet been developed. In other words, it is essential to develop PMICs that automatically bring out the full potential of the TEI-LP techniques as the chip temperature changes. With the aim of designing such PMICs, this paper first conducted a study to find the most suitable DC-DC converter for PMICs and then developed a control algorithm to maximize the effectiveness of the TEI-LP techniques. Furthermore, we have developed a compact hardware controller for the algorithm to operate most energy efficiently on ULP-SoCs.

1. Introduction

With the explosive growth of wearable and Internet of Things (IoT) devices and the advent of the artificial intelligence (AI) era, demand for ultra-low-power (ULP) system-on-chips (SoCs) is ever-increasing [1,2]. Ultra-low-power (ULP) SoCs consume much less power than conventional low-power design techniques based on ultra-low-voltage (ULV) operating circuitry technology [3]. ULP SoC can consume tens of times less power than conventional normal voltage operating SoC. However, the disadvantage is that the ULP SoC operation speed is very slow and cannot be more than tens of MHz, but even this is not a problem for wearable and IoT devices that can perform applications sufficiently well at this speed.
Recent studies on ULP SoCs have shown that the speed-to-temperature characteristics of ULP SoCs are very different from nominal voltage-operating SoCs, i.e., the operating speed of ULP SoCs increases significantly with temperature rise [2,4]. This phenomenon is the opposite of the properties of the well-known temperature and circuit speed, which is called temperature effect inversion (TEI) [5,6]. Many researchers have actively used this phenomenon to apply it to dynamic power and thermal management methods, and as a result, various TEI-aware low-power (TEI-LP) techniques have been developed [1,4,7,8,9,10,11].
Although the TEI-LP techniques clearly demonstrate the potential to drive ULP SoCs with lower power, these technologies are delaying their advancement to commercial technologies due to obvious limitations: First, the designs of DC-DC Converters have been gradually studied in the research area, but only a few ([12,13,14]) can work with the ULV operating platforms. Even though these results work in the ULV region, they have the disadvantage of power conversion efficiency ( η ) under certain load conditions or requiring additional complex circuitry. There have been studies on DC-DC converters for ULV operation to improve η in wide low-power conditions [15], but there are still problems. It does not take into account the characteristics of the TEI, only the work in the ULV domain. This is because there has been no power management-integrated circuits (PMICs) that can implement the TEI-LP techniques in actual SoCs. For example, in the case of the TEI-aware voltage scaling technique (TEI-VS) that drives the SoC with the lowest supply voltage while maintaining the target clock frequency of the SoC [2,8,9,10], which is the most well-known technique among the TEI-LP techniques, a PMIC could derive the most optimal supply voltage level for the change in the chip temperature by considering the characteristics of the DC-DC converter. In other words, without finding a suitable DC-DC converter and developing of PMICs that automatically maximize the potential of the TEI-VS according to changes in chip temperature, this technique has no choice but to remain in the research stage.
To tackle this limitation, we conducted research and development with the aim of developing dedicated PMICs for TEI-LP techniques. To this end, we first conducted a study to find the most suitable one for TEI-VS among various types of commercial DC-DC converters. As a result, we were able to determine the most suitable switched capacitor DC-DC converter for the target ULP-SoC. Next, we developed a control algorithm to obtain the most optimal supply voltage for each given temperature in consideration of the conversion efficiency of the DC-DC converter. In this process, we recognized the situation that the optimal supply voltage level data through TEI-VS for each temperature of SoC is fundamentally insufficient. In other words, due to the PVT (process, voltage and temperature) variation, it is necessary to conduct an experiment for each chip in order to obtain the corresponding data. Furthermore, in order to obtain high-resolution data, it is necessary to test the results of the TEI-VS application by changing the chip temperature minutely, which is nearly impossible in reality. Therefore, we devised a method that can extract high-resolution data only from TEI-VS results by temperature with low resolution and applied it to the control algorithm of PMIC that developed it.
In accordance with the characteristics of ULP-SoC, we considered the most energy-efficient way to operate the developed algorithm in the target SoC, and as a result, we finally designed a compact hardware controller IP dedicated to the proposed algorithm. To verify the functional correctness of the developed IP, we designed a RISC-V-based ULP-SoC. After embedding the corresponding IP in this SoC, platform-level functional verification was completed through FPGA prototyping.
The remainder of this paper is organized as follows. Section 2 elucidates the TEI phenomenon in ULP-SoCs and the TEI-VS. Section 3 describes the analysis of the impact of DC-DC converters on TEI-VS and the research on which converter should be selected. Section 4 covers the details of PMIC controller development. Section 5 is the work of verifying the developed PMIC, which develops a prototype ULP-SoC containing PMIC and reports the results of the performing testbench using it. Finally, Section 6 concludes the paper.

2. TEI-LP Techniques

2.1. TEI Phenomenon

The biggest influence on the delay of logic gates τ in the ULP-SoC is the on-current of the transistor I o n , in that τ 1 / I o n . As a temperature T-dependent function, I o n can be expressed with the gate-source voltage V g s , the threshold voltage V t h , the subthreshold swing coefficient S, and the carrier mobility μ , as shown in the following equation [6]:
I o n μ ( T ) · e V g s V t h ( T ) S ( T ) : V g s V t h
V t h , S, and μ are temperature-dependent device parameters, whereby V t h and μ decrease while S increases with rising T. More specifically, in (1), V t h affects I o n the most, and μ has a slight deceleration effect in the opposite direction. As a consequence, I o n increases as T rises, and, in turn, τ decreases. Due to this phenomenon, the worst-case corner of the ULP-SoCs occur at the lowest operating T. This unique characteristic of the ULP-SoCs is called T e m p e r a t u r e E f f e c t I n v e r s i o n (TEI).
We have fabricated an ULP-SoC, which is called TEI-inspired SoC platform (TIP), using 28 nm FDSOI technology through previous work in [2], and this chip has been able to demonstrate that the TEI phenomenon occurs on the actual chip. Figure 1 is the result of measuring the maximum operating speed while maintaining the supply voltage of the chip as the temperature changes. From the figure, it can be clearly seen that the operating speed of the SoC increases as T increases. In addition, it can be confirmed that the worst-case timing corner is determined at the lowest temperature (−10 C).

2.2. TEI-Aware Voltage Scaling

SoC must be designed in consideration of normal operation in all operating temperatures in the manufacturing process. That is, in the case of ULP-SoCs, since the worst-case timing corner occurs in the minimum operating temperature, the target clock frequency of the chip, f t a r g e t , is determined based on this. Therefore, if the chip operates at a temperature higher than the minimum operating temperature T m i n , a positive delay margin is generated, which is called a TEI-benefit.
As seen in Figure 2, the worst-case corner delay occurred at −25 C, and the delay decreased while the temperature increased. This TEI phenomenon can be exploited to down scale the voltage level while maintaining the f t a r g e t [6]. More specifically, the worst-case corner delay of the circuit operating at 0.75 V is the same as the delay at 18 C when the same circuit is operated at 0.7 V. That is, at 18 C, the operating speed of the circuit is the same even if the supply voltage of the circuit is lowered from 0.75 V to 0.7 V. When the threshold temperature T t h is defined as the temperature at which the voltage can be lowered according to the voltage control resolution of the DC-DC converter (e.g., 0.05 V in the figure) while maintaining the circuit speed, there are several T t h ’s in the operating temperature range of the circuit, as shown in the figure. Furthermore, the higher the T t h , the more aggressive the voltage scaling is possible.
The TEI benefit makes it possible to develop new low-power techniques different from traditional low-power techniques, such as dynamic voltage and frequency scaling (DVFS) or dynamic power management (DPM). For example, if the target clock frequency can be maintained even if the voltage is lowered to some extent due to the increase in the temperature of the chip, we can scale down the supply voltage to the lowest voltage allowed at a given temperature, thereby achieving considerable power savings. This can be theoretically reviewed in more detail through the equation of power consumption in terms of supply voltage V D D , which is as follows:
P d y n a m i c = α · C · V D D 2 · f , P s t a t i c = V D D · I o f f
where P d y n a m i c and P s t a t i c are the dynamic power and static power consumption of the total power P t o t a l , respectively, α is the activity factor, C is the capacitance, and f is the operating clock frequency. Exploiting the TEI-benefit, V D D can be lowered at a certain T > T m i n , while maintaining f t a r g e t . From (2), lowering V D D drives significant power savings. This technique is called TEI-VS, the most well-known among TEI-LP technologies [2,8,9,10]. For example, as shown in Figure 3, when the TIP is operated at 50 and 100 MHz clock frequencies, the required supply voltage at each worst-case corner was 0.54 and 0.61 V, respectively. However, as T increased, it is possible to maintain 50 and 100 MHz clock frequencies at 0.48 and 0.54 V supply, respectively, at 20 C, and power consumption is reduced by 22.1% and 22.7%, respectively, compared to the case where TEI-VS is not applied. In addition, we can confirm that the supply voltage can be further lowered as T increases, and the power-saving effect becomes larger.

3. TEI-VS with DC-DC Converters

Components in the ULP-SoC operate through DC supply. Each component has its own operating voltage range and has a different voltage accuracy requirement [16]. If an unstable voltage is supplied, a malfunction may occur, so it is essential to supply a proper supply voltage for the stable operation of a chip and efficient power management. Therefore, it is very important to select and use a DC-DC converter capable of appropriate power management that stably supplies the required voltage through a chip.
In general, DC-DC converters can be classified into three types, low-dropout regulators (LDOs), switched-capacitor regulators (SCs), and inductive switching regulators, according to circuit implementation and operation principles. From the point of view of on-chip DC-DC converters, LDO and SC are the most widely used since they have the advantages of easy integration and low area overhead compared to inductive switching regulators. In this paper, we also explore LDOs and SCs capable of on-chip integration as DC-DC converters suitable for our goals in PMIC design for the TEI-VS.
Previous studies on TEI-VS have only considered the reduction in power consumption for the SoC itself but have not considered the power dissipation according to voltage conversion, which is the power consumption of the DC-DC converter P C O N V . When defining the power savings of SoC owing to TEI-VS as P S T E I - V S , if P C O N V is greater than P S T E I - V S , it can cause a serious problem that TEI-VS is not valuable at all. Otherwise, it still raises the question of whether the effect of TEI-VS is exaggerated. Therefore, this paper first conducts a study to find an answer to this question. The results of the study on TEI-VS considering a DC-DC converter are introduced by distinguishing when LDO is used and when SC is used through the following subsections.

3.1. TEI-VS Using an LDO

In general, LDOs are step-down regulators that only generate an output voltage lower than the input voltage, and the input and output operate linearly [17]. LDO operates through a voltage-controlled current source and provides adjustable output voltage. It is the easiest type of DC-DC converter to implement with a simple configuration and few external components while supporting low noise and high voltage stability. However, as the voltage difference between inputs and outputs is large, heat generation increases and efficiency decreases, so it is limitedly used for small-level voltage conversions. However, our target is for the ULP SoC platform, only for the near-/sub-threshold region. Therefore it does not have the problem of using LDO because of a conversion efficiency issue; it makes sense to use LDO in near/sub-threshold circuits, and it has already been used [18,19].
To find the most suitable LDO for the target ULP-SoC TIP, we explored commercial LDOs closely. The selection criterion was based on the TEI-VS experiment result of TIP, such that the voltage scaling range of LDO must support ultra-low voltage (e.g., 0.44 to 0.61 V), and the conversion efficiency should be sufficiently high. As a result, the TPS7A13 model [20] of Texas instruments was selected.
Subsequently, we conducted an experiment to measure the power consumption according to voltage scaling using TPS7A13. During the experiment, we operated the TIP at 50 MHz clock frequency and T range from −40 to 80 C. At −40 C, the worst-case corner, the supply voltage to meet the target f was 0.54 V. Based on this, we set the input voltage of LDO to 0.54 V. The output voltage of LDO followed the TEI-VS result according to T change. The results of the experiment are reported in Table 1. As can be seen in the table, LDO reduces the effectiveness of TEI-VS by about 40% at 80 C. In other words, from the table, we can observe that LDO fully exhibits the power-saving effect of TEI-VS at low temperatures (i.e., less than 0 C) but limits the effect seriously in that P S i n c l , L D O / P S T E I - V S decreases with increasing temperature. In this regard, from a practical perspective, whereby the operating temperature range of most ULP-SoCs is commonly 0 C, using LDO may not be the optimal solution to fully exploit TEI-VS.

3.2. TEI-VS Using an SC

SCs are distinguished into three types: a buck type that produces a low output, a boost type that produces higher output, and a buck-boost type that can be used in both cases. SCs have the advantage of providing higher power conversion efficiency, which can be up to 98% depending on the operating conditions and having design flexibility, good thermal performance, and a wide input voltage range [21]. However, in general, SCs have disadvantages in that the switching process creates a higher output noise, requires a lot of external components, is more difficult to control the output voltage than LDO, and takes up more space [22].
The advantages of SCs, i.e., easy on-chip integration and compliance efficiency, make SC widely used for PMICs for ULP-SoCs. Moreover, recent studies on SCs are further accelerating their use [23,24]. Accordingly, there are various types of SCs in the market, and we had to first select the most suitable SC for TIP among them. We selected LTC1261 from Analog Devices [25] as the target SC according to the requirements that the output voltage should be adjusted to a high resolution, and the minimum input voltage should be sufficiently low pursuant to the TIP. Although the LTC1261 is a converter that is typically used to regulate the positive supply to a negative voltage, it has the advantage of controlling the output voltage V o u t with an ultra-low voltage, according to the circuit diagram in Figure 4. For example, when C 1 , C 2 , C 3 , C 4 , and R 2 are set to 1 μ F, 0.1 μ F, 100 μ F, 3.3 μ F, and 124 k Ω , respectively, V o u t can be obtained as follows.
V o u t = V 1 10 μ A ( R s + 124 k )
Similar to the LDO experiment in Section 3.1, we then performed an experiment to measure the power consumption according to voltage scaling using LTC1261, whereby the schematic used at this time is illustrated in Figure 4. The results of the experiment are reported in Table 2. Through this table and Table 2, it can be seen that the difference between the case of using SC and the case of LDO is clear. First, the power consumed by SC, P S C , is greater than P L D O at a low temperature (e.g., T ≤ 0 C), so that the final chip power saving, including P S C , P i n c l . S C is lower than P i n c l . L D O . However, as shown in Figure 5, as T increases, P S C / P S T E I - V S decreases rapidly, and as a result, P S C over P S T E I - V S becomes negligible when T 30 C. This result concludes that although the effect of SC on TEI-VS may differ depending on the T (i.e., the higher the temperature, the better), by taking the most practical temperature condition of the ULP-SoCs into consideration, SC is certainly more suitable for TEI-VS than LDO.

4. PMIC Controller for TEI-VS

PMIC is essential to realize the power saving of ULP-SoC by applying TEI-VS. Such a PMIC must be able to control the optimal supply voltage suitable for the chip temperature obtained from the temperature sensor mounted within the chip, considering not only the existing TEI-VS results but also the power consumption of the DC-DC converter. In this paper, we develop PMIC for TEI-VS for the first time.
The discriminatory function that PMICs dedicated to TEI-VS must have compared to existing PMICs is that minimum supply voltage must be supplied according to temperature changes. The easiest way to consider achieving this may be to secure data for all sets of (T, V D D ) once in advance, store it in the Look-Up-Table (LUT), and load and use the corresponding V D D at each given T. However, considering that it is obvious that the higher the resolution of T’s at which the TEI-VS can be applied, the greater the effect of the TEI-VS, this software-based approach may be practically impossible. The reason is that (i) it is difficult to implement more than a certain interval due to the constraints of memory space, and (ii) experiments to acquire (T, V D D ) are very time-consuming and labor-intensive. Moreover, considering the PVT variation of the chip, (T, V D D ) data must be obtained for each chip, which greatly reduces the practicality, (iii) P S C must also be considered to obtain the optimal V D D , which is significantly influenced by the output current of the SC I S C . For this reason, I S C should be included as an additional factor in the dataset, which increases the difficulty of implementing this LUT utilization approach exponentially. Further, if safety is not taken into consideration, the ULV platform will break. For example, when the temperature rises, it does not matter because the supply voltage is lower than it was before. However, as the temperature decreases, the voltage value should be high. In such a situation, if only efficiency is considered when designing a PMIC, the optimal voltage may be lower than the minimum supply voltage, which may cause a critical error in operation. Therefore, to prevent such issues, the algorithm should guarantee safety protection.
Therefore, we propose a PMIC hardware controller as the most practical way to develop the PMIC for the TEI-VS. To do that, we first develop an algorithm for the controller to find the optimal V D D , V o p t , that maximizes P S i n c l . S C V o p t according to the given T monitored in real-time. As a way to obtain P S i n c l . S C for any T, we derived the P S T E I - V S (T, V o p t ) function through cubic spline approximation [26] using several datasets (in our experiment, 13 datasets measured at 10 C from −40 C to 80 C) obtained during the chip testing stage. Based on this, the derived V o p t at T can be expressed by the following equation:
V o p t ( T ) = p 3 · T 3 + p 2 · T 2 + p 1 · T + p 0
where p 3 , p 2 , p 1 , and p 0 are the parameters acquired from the aforementioned approximation. At the same time, P S T E I - V S , when using the current V D D , is also calculated so that we perform the first comparison between P S T E I - V S ( V o p t ) and P S T E I - V S ( V D D ). If the former is larger than the latter, V o p t should become a candidate to be examined whether it is still a gain to scale the voltage to V o p t even considering P S C . Further, P S C is derived from I S C and V o p t , and used. Then, if there is a gain, the current V D D should be switched to V o p t . Figure 6 shows a diagram of the proposed PMIC control algorithm. Meanwhile, we may need to consider the safety issue that can arise when the temperature drops or changes rapidly. As a conservative approach, a method of maintaining the voltage slightly higher than V o p t and then gradually lowering the voltage to the V o p t value may be considered. Alternatively, a method of repeatedly maintaining a value higher than V o p t and a value of V o p t [9] may be adopted. In addition, we may need to consider the switching time of SC, in that if the time taken for voltage scaling is longer than the time taken for temperature change, a fatal error may occur in the SoC. Fortunately, in general, the temperature change in the chip to require a chip voltage scaling to be made in minutes [11], whereas the switching time of the SC is a few ms or less [27], so unless there is a special case, it may be unnecessary to seriously consider this.
Next, we designed a hardware IP that performs the proposed algorithm. For the communication protocol of the IP, we chose an advanced peripheral bus (APB), which is a bus architecture suitable for IP operating at low power without requiring high performance [28,29], was used. As shown in Figure 6, the main logic of the PMIC controller is composed of V o p t Calculator, 1st and 2nd Comparators, P S T E I - V S Calculator, and P S C Calculator.
Among the hardware logic implemented, the V o p t Calculator that performs a spline approximation to obtain V o p t according to current T has the greatest influence on the overall IP delay. A process for determining p 3 , p 2 , p 1 , and p 0 for each section and a process for deriving V o p t must be performed in this logic. In particular, m i n ( p 3 , p 2 , p 1 , p 0 ) is about 0.0002122, which is very small, and V o p t is also small, so floating point operations are required. However, given the size of the ULP platform, adding a full floating-point module is unreasonable. To this end, we designed the V o p t Calculator with appropriately sized FPU modules (e.g., floating point addition and multiplication). This results in a significant performance improvement by several to several tens of times compared to when the algorithm is implemented as software. That is because executing the proposed algorithm with a core without an FPU significantly slows the speed used in the cores, and ULP-SoCs tend not to have an FPU, while the PMIC controller we developed contains the required FPU function, enabling the algorithm to be executed much faster. In addition, to improve the operation speed, we used the method of performing two multiplication module instances instead of sequentially performing the operation, as shown in Figure 7. Through this, only four cycles were required for the operation, which is a three-times faster performance improvement than the sequential operation.

5. Verification

To verify the function and effectiveness of the PMIC controller, we have implemented a complete verification system, including an ULP-SoC platform, by using RISC-V eXpress [2]. Specifically, the prototype platform was designed to have a dual-core, and for this, two ORCA cores [30] based on the RISC-V were implemented on the platform; the core of each was created as a single core without FPU. Additionally, this platform has a 512 KB SRAM memory and peripherals, including UART, I2C, etc. Finally, all the IPs are interconnected with our pre-developed network-on-chip (NoC). Since the PMIC controller uses the data measured from the actual TIP chip, this architecture is also configured exactly the same as the existing TIP except for the PMIC controller. The detailed prototype architecture of the developed ULP-SoC, including the PMIC controller, is described in Figure 8.
We then prototyped the ULP-SoC in Figure 8 using a Digilent Arty-A7 100T board [31], as shown in Figure 9. To this end, the synthesis was carried out through Xlinx Vivado [32], and the synthesis results are reported in Table 3. As reported in the table, the PMIC controller consumes 1430 look-up tables (LUTs) and 1367 flip-flops (FFs), which take only 5.83% and 5.74% of the entire SoC.
We developed a testbench application that randomly generates a temperature between −40 and 80 C, adds the input value, and outputs the optimal V D D calculation result using a PMIC controller in the developed ULP-SoC. Next, in order to verify the acceleration performance of the PMIC controller, we developed control algorithm software and simultaneously developed a baseline ULP-SoC prototype without a PMIC controller.
Finally, we set the testbench application to perform the results for a total of 20 random T values and then performed it in both the baseline and proposed ULP-SoC prototypes. As a result, it was confirmed that the total execution time of the application on the ULP-SoC with the PMIC controller took 266 cycles, while the time it took to execute the software only with an ORCA core without FPU reached 41,583 clk. Through this, we can conclude that the developed PMIC controller is not only the result of the most practical approach but also is far superior in terms of the TEI-VS processing speed.

6. Conclusions

ULP-SoCs are designed based on a circuit operating as ULV, resulting in a TEI phenomenon. It is well known that TEI-LP is a technology that actively utilizes this to drive ULP-SoC with lower power, and TEI-VS, among many TEI-LP technologies, shows excellent power consumption reduction performance. However, studies on PMIC, the only way to implement TEI-VS, have not been conducted so far, and due to this, it can be said that the practical ability of TEI-VS technology is still unverified. In this paper, first, TEI-VS was researched in consideration of the power conversion efficiency of a DC-DC converter, and a practical experiment using commercial LDO and SC was conducted to answer the question of how to find the DC-DC converter most suitable for TEI-VS. Next, the control algorithm of the PMIC dedicated to the TEI-VS in consideration of the DC-DC converter was proposed, and the hardware IP design, which is the most practical method, was conducted to implement the proposed algorithm. Finally, a RISC-V-based ULP-SoC with a PMIC controller was developed, and through experiments performed using the prototype, it was verified that the developed PMIC controller functions normally and exhibits excellent performance.

Author Contributions

K.-B.L., J.P., E.C., M.J. and W.L. were the main researchers who initiated and organized the research reported in the paper, and all authors were responsible for analyzing the simulation results and writing the paper. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported in part by Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (2020R1F1A106647413), and in part by the Chung-Ang University Research Scholarship Grants in 2021.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Lee, W.; Kang, T.; Lee, J.; Han, K.; Kim, J.; Pedram, M. TEI-ULP: Exploiting Body Biasing to Improve the TEI-Aware Ultralow Power Methods. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2019, 38, 1758–1770. [Google Scholar] [CrossRef]
  2. Han, K.; Lee, S.; Oh, K.I.; Bae, Y.; Jang, H.; Lee, J.J.; Lee, W.; Pedram, M. Developing TEI-Aware Ultralow-Power SoC Platforms for IoT End Nodes. IEEE Internet Things J. 2021, 8, 4642–4656. [Google Scholar] [CrossRef]
  3. Alioto, M. Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial. IEEE Trans. Circuits Syst. I Regul. Pap. 2012, 59, 3–29. [Google Scholar] [CrossRef]
  4. Rossi, D.; Pullini, A.; Gautschi, M.; Loi, I.; Gurkaynak, F.K.; Flatresse, P.; Benini, L. A −1.8 V to 0.9 V body bias, 60 GOPS/W 4-core cluster in low-power 28 nm UTBB FD-SOI technology. In Proceedings of the 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Rohnert Park, CA, USA, 5–8 October 2015; pp. 1–3. [Google Scholar]
  5. Pu, Y.; Zhang, X.; Huang, J.; Muramatsu, A.; Nomura, M.; Hirairi, K.; Takata, H.; Sakurabayashi, T.; Miyano, S.; Takamiya, M.; et al. Misleading energy and performance claims in sub/near threshold digital systems. In Proceedings of the 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, 7–11 November 2010; pp. 625–631. [Google Scholar]
  6. Lee, W.; Wang, Y.; Cui, T.; Nazarian, S.; Pedram, M. Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon. In Proceedings of the 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), La Jolla, CA, USA, 11–13 August 2014; pp. 105–110. [Google Scholar]
  7. Cai, E.; Marculescu, D. TEI-Turbo: Temperature effect inversion-aware turbo boost for finfet-based multi-core systems. In Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2015; pp. 500–507. [Google Scholar]
  8. Zu, Y.; Huang, W.; Paul, I.; Reddi, V.J. Ti-states: Processor power management in the temperature inversion region. In Proceedings of the 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Taipei, Taiwan, 15–19 October 2016; pp. 1–13. [Google Scholar]
  9. Lee, W.; Han, K.; Wang, Y.; Cui, T.; Nazarian, S.; Pedram, M. TEI-power: Temperature Effect Inversion–Aware Dynamic Thermal Management. ACM Trans. Des. Autom. Electron. Syst. 2017, 22, 51:1–51:25. [Google Scholar] [CrossRef]
  10. Park, J.; Cha, H. Aggressive Voltage and Temperature Control for Power Saving in Mobile Application Processors. IEEE Trans. Mob. Comput. 2018, 17, 1233–1246. [Google Scholar] [CrossRef]
  11. Han, K.; Lee, J.J.; Lee, J.; Lee, W.; Pedram, M. TEI-NoC: Optimizing Ultralow Power NoCs Exploiting the Temperature Effect Inversion. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2018, 37, 458–471. [Google Scholar] [CrossRef]
  12. Zhang, X.; Chen, P.H.; Okuma, Y.; Ishida, K.; Ryu, Y.; Watanabe, K.; Sakurai, T.; Takamiya, M. A 0.6 V Input CCM/DCM Operating Digital Buck Converter in 40 nm CMOS. IEEE J. Solid-State Circuits 2014, 49, 2377–2386. [Google Scholar] [CrossRef]
  13. Liu, C.W.; Chung, M.J.; Lee, H.H.; Liao, P.C.; Chen, P.H. A single-inductor triple-input-triple-output (SITITO) energy harvesting interface with cycle-by-cycle source tracking and adaptive peak-inductor-current control. In Proceedings of the 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC), Seoul, Korea, 6–8 November 2017; pp. 113–116. [Google Scholar]
  14. Chen, P.H.; Cheng, H.C.; Ai, Y.A.; Chung, W.T. Automatic Mode-Selected Energy Harvesting Interface with >80% Power Efficiency Over 200 nW to 10 mW. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2018, 26, 2898–2906. [Google Scholar] [CrossRef]
  15. Hwang, W.; Yoo, K.; Thai, D.V.; Lee, W.; Baek, K.-H. Design of a DC–DC Converter Customized for Ultra-Low Voltage Operating IoT Platforms. Energies 2020, 13, 461. [Google Scholar] [CrossRef]
  16. De Vos, J.; Flandre, D.; Bol, D. A dual-mode DC/DC converter for ultra-low-voltage microcontrollers. In Proceedings of the 2012 IEEE Subthreshold Microelectronics Conference (SubVT), Waltham, MA, USA, 9–10 October 2012; pp. 1–3. [Google Scholar]
  17. ErickSon, R.W.; Maksimovic, D. Fundamentals of Power Electronics, 2nd ed.; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2007. [Google Scholar]
  18. Okuma, Y.; Ishida, K.; Ryu, Y.; Zhang, X.; Chen, P.H.; Watanabe, K.; Takamiya, M.; Sakurai, T. 0.5-V input digital LDO with 98.7% current efficiency and 2.7-μA quiescent current in 65 nm CMOS. In Proceedings of the IEEE Custom Integrated Circuits Conference 2010, San Jose, CA, USA, 19–22 September 2010; pp. 1–4. [Google Scholar]
  19. Hirairi, K.; Okuma, Y.; Fuketa, H.; Yasufuku, T.; Takamiya, M.; Nomura, M.; Shinohara, H.; Sakurai, T. 13% power reduction in 16b integer unit in 40 nm CMOS by adaptive power supply voltage control with parity-based error prediction and detection (PEPD) and fully integrated digital LDO. In Proceedings of the 2012 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 19–23 February 2012; pp. 486–488. [Google Scholar]
  20. TPS7A13. Available online: https://www.ti.com/product/TPS7A13 (accessed on 5 September 2022).
  21. Lee, W.; Wang, Y.; Pedram, M. Optimizing a Reconfigurable Power Distribution Network in a Multicore Platform. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2015, 34, 1110–1123. [Google Scholar] [CrossRef]
  22. Lee, W.; Wang, Y.; Pedram, M. VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform. In Proceedings of the 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 24–28 March 2014; pp. 1–6. [Google Scholar]
  23. Samanbakhsh, R.; Taheri, A. VRCon: Reduction of Power Electronic Components in Multilevel Converters Using New Switched Capacitor-Diode Structure. IEEE Trans. Ind. Electron. 2016, 63, 7204–7214. [Google Scholar] [CrossRef]
  24. ur Rahman, F.; Kim, S.; John, N.; Kumar, R.; Li, X.; Pamula, R.; Bowman, K.A.; Sathe, V.S. A Unified Clock and Switched-Capacitor-Based Power Delivery Architecture for Variation Tolerance in Low-Voltage SoC Domains. IEEE J. Solid-State Circuits 2019, 63, 7204–7214. [Google Scholar] [CrossRef]
  25. LTC1261. Available online: https://www.linear.com/product/LTC1261 (accessed on 5 September 2022).
  26. Götz, A.; Günter, M. Interval analysis: Theory and applications. J. Comput. Appl. Math. 2000, 121, 421–464. [Google Scholar]
  27. Lee, J.-Y.; Kim, G.-S.; Oh, K.-I.; Baek, D. Fully Integrated Low-Ripple Switched-Capacitor DC–DC Converter with Parallel Low-Dropout Regulator. Electronics 2019, 8, 98. [Google Scholar] [CrossRef]
  28. Han, K.; Lee, J.J.; Lee, W. Converting Interfaces on Application-specific Network-on-chip. J. Semicond. Technol. Sci. 2017, 17, 505–513. [Google Scholar] [CrossRef]
  29. Jang, H.; Han, K.; Lee, S.; Lee, J.J.; Lee, S.-Y.; Lee, J.H.; Lee, W. Developing a Multicore Platform Utilizing Open RISC-V Cores. IEEE Access 2021, 9, 120010–120023. [Google Scholar] [CrossRef]
  30. ORCA. Available online: https://github.com/riscveval/orca-1 (accessed on 5 September 2022).
  31. Digilent Arty-A7. Available online: https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists (accessed on 5 September 2022).
  32. Xilinx Vivado. Available online: https://www.xilinx.com/products/design-tools/vivado.html (accessed on 5 September 2022).
Figure 1. TEI phenomenon in a ULP-SoC fabricated in 28 nm FDSOI technology.
Figure 1. TEI phenomenon in a ULP-SoC fabricated in 28 nm FDSOI technology.
Energies 15 06780 g001
Figure 2. Concept of voltage scaling technique using the TEI phenomenon.
Figure 2. Concept of voltage scaling technique using the TEI phenomenon.
Energies 15 06780 g002
Figure 3. Minimum supply voltage by temperature, measured from the TIP.
Figure 3. Minimum supply voltage by temperature, measured from the TIP.
Energies 15 06780 g003
Figure 4. Schematic used for the SC simulations.
Figure 4. Schematic used for the SC simulations.
Energies 15 06780 g004
Figure 5. Graph of the result of SC simulations.
Figure 5. Graph of the result of SC simulations.
Energies 15 06780 g005
Figure 6. Diagram of the proposed PMIC control algorithm.
Figure 6. Diagram of the proposed PMIC control algorithm.
Energies 15 06780 g006
Figure 7. Operation flow of the V o p t Calculator.
Figure 7. Operation flow of the V o p t Calculator.
Energies 15 06780 g007
Figure 8. Architecture of the developed ULP-SoC.
Figure 8. Architecture of the developed ULP-SoC.
Energies 15 06780 g008
Figure 9. Simulation with FPGA prototyping.
Figure 9. Simulation with FPGA prototyping.
Energies 15 06780 g009
Table 1. Results of power savings when TEI-VS is applied to TIP, P S T E I - V S , and final power savings when the power consumption of LDO P L D O is included, P S i n c l , L D O .
Table 1. Results of power savings when TEI-VS is applied to TIP, P S T E I - V S , and final power savings when the power consumption of LDO P L D O is included, P S i n c l , L D O .
T ( C) V D D  (V) P S T E I - V S  (%) P S i n c l , L D O (%)
−400.5400
−300.533.282.46
−200.534.43.61
−100.528.145.61
00.5112.036.85
100.515.538.78
200.4919.2210.98
300.4821.6812.02
400.4822.2512.53
500.4726.3515.39
600.4629.3117.01
700.4532.8819.46
800.4435.6521.03
Table 2. Results of final power savings when the power consumption of SC P S C is included, P S i n c l , S C .
Table 2. Results of final power savings when the power consumption of SC P S C is included, P S i n c l , S C .
T ( C) V D D  (V) P S i n c l , S C  (%)
−400.540
−300.530.16
−200.531.36
−100.524.29
00.518.59
100.511.78
200.4914.74
300.4818.64
400.4821.59
500.4724.35
600.4627.83
700.4531.14
800.4434.87
Table 3. Resource consumption proportion on the FPGA.
Table 3. Resource consumption proportion on the FPGA.
LUTs (%)FFs (%)
Cores (×2)49.6327.07
PMIC Controller5.835.74
Peripherals5.597.08
NoC31.5345.54
EtC7.4214.57
Total100100
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Lee, K.-B.; Park, J.; Choi, E.; Jeon, M.; Lee, W. Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips. Energies 2022, 15, 6780. https://doi.org/10.3390/en15186780

AMA Style

Lee K-B, Park J, Choi E, Jeon M, Lee W. Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips. Energies. 2022; 15(18):6780. https://doi.org/10.3390/en15186780

Chicago/Turabian Style

Lee, Kyu-Bae, Jina Park, Eunjin Choi, Mingi Jeon, and Woojoo Lee. 2022. "Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips" Energies 15, no. 18: 6780. https://doi.org/10.3390/en15186780

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop