Next Article in Journal
Microstructure and Properties of Inconel 625 Fabricated Using Two Types of Laser Metal Deposition Methods
Next Article in Special Issue
Phase Diagram of Binary Alloy Nanoparticles under High Pressure
Previous Article in Journal
Statistical Research of Stainless Austenitic Steel Grain Size Distribution after Screw Rolling
Previous Article in Special Issue
Reactive Infiltration and Microstructural Characteristics of Sn-V Active Solder Alloys on Porous Graphite
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Recent Advances in Barrier Layer of Cu Interconnects

1
Institute of Marine Biomedicine, Shenzhen Polytechnic, Shenzhen 518055, China
2
State Key Laboratory of Materials-Oriented Chemical Engineering, College of Chemical Engineering, Nanjing Tech University, Nanjing 211816, China
3
National Laboratory of Solid State Microstructures, College of Engineering and Applied Sciences, Nanjing University, Nanjing 210093, China
*
Authors to whom correspondence should be addressed.
Materials 2020, 13(21), 5049; https://doi.org/10.3390/ma13215049
Submission received: 16 October 2020 / Revised: 6 November 2020 / Accepted: 6 November 2020 / Published: 9 November 2020

Abstract

:
The barrier layer in Cu technology is essential to prevent Cu from diffusing into the dielectric layer at high temperatures; therefore, it must have a high stability and good adhesion to both Cu and the dielectric layer. In the past three decades, tantalum/tantalum nitride (Ta/TaN) has been widely used as an inter-layer to separate the dielectric layer and the Cu. However, to fulfill the demand for continuous down-scaling of the Cu technology node, traditional materials and technical processes are being challenged. Direct electrochemical deposition of Cu on top of Ta/TaN is not realistic, due to its high resistivity. Therefore, pre-deposition of a Cu seed layer by physical vapor deposition (PVD) or chemical vapor deposition (CVD) is necessary, but the non-uniformity of the Cu seed layer has a devastating effect on the defect-free fill of modern sub-20 or even sub-10 nm Cu technology nodes. New Cu diffusion barrier materials having ultra-thin size, high resistivity and stability are needed for the successful super-fill of trenches at the nanometer scale. In this review, we briefly summarize recent advances in the development of Cu diffusion-proof materials, including metals, metal alloys, self-assembled molecular layers (SAMs), two-dimensional (2D) materials and high-entropy alloys (HEAs). Also, challenges are highlighted and future research directions are suggested.

1. Introduction

Ever since the development of the integrated circuit (IC) about 60 years ago, aluminum (Al) and silicon dioxide (SiO2) have been most widely used as conductor and insulator materials for the fabrication of micro-processors [1,2]. As technical demands grew, the continuous decrease of the feature sizes and the explosive increase of the number of transistors in micro-processors resulted in the growth of so-called gate delays [3,4]. To solve this issue, new wiring materials with resistivity lower than Al and dielectric materials with dielectric constant (so-called low-κ) lower than conventional SiO2 have to be used as alternatives. IBM announced in 1997 the replacement of Al with copper (Cu) as the interconnect material in semiconductor processing [5]. As compared to Al, Cu has a smaller gate delay due to its lower electrical resistivity, but higher electro-migration, stress-migration resistances and melting point [6]. However, a big problem of switching Al to Cu is that the conventional methods used for Al deposition (sputter deposition) and patterning (reactive ion etching) are not suitable for Cu, as Cu is corroded during standard chip manufacturing processes. Therefore, the fabrication technique has to be upgraded for Cu patterning and deposition.
The structure of Cu interconnects is usually patterned by a so-called damascene process [7,8], in which the dielectric layer is patterned in advance, followed by the sequential deposition of a diffusion barrier layer and the filling of the patterned trenches with Cu. The excess of Cu can be removed by a chemical mechanical polishing (CMP) process. Among the many deposition methods of Cu, the electrochemical deposition (ED) technique [9,10] has been proven to be the most economic and efficient way to super-fill damascene features without defects, as compared to techniques such as electroless plating, vacuum-based physical vapor deposition (PVD) and chemical vapor deposition (CVD). The atomic layer deposition (ALD) method is another way to generate uniform Cu thin film. Based on sequential layer-by-layer deposition and self-limiting behavior, ALD provides high conformity of thin film quality and accurate control of layer thickness, in spite of the slow deposition rate and low throughput. Inspired by this method, electrochemical atomic layer-by-layer deposition (known as e-ALD) has been developed to fabricate ultra-thin Cu film, including two main steps: (1) deposit a sacrificial atomic layer of an appropriate metal by holding an electrode potential within the underpotential deposition region; (2) release the electrode potential to induce the spontaneous displacement of sacrificial metal layer by atomic Cu layer [11]. However, the introduction of copper as interconnects also raises some other challenges, including the degradation of devices due to the diffusion of Cu into the Si and Si-based insulating layers at rather low temperatures [12], the absence of a self-passivized oxide layer causing the corrosion of Cu under chip fabrication process, as well as the poor adhesion between Cu and insulating layers.
To solve these problems, a suitable barrier material with good adhesion to Cu is required to prevent Cu from diffusing into the dielectric layer. The qualified diffusion barrier materials need to be refractory and inactive to both conductors and insulators at rather high temperatures, normally including transition metals such as tantalum (Ta) [13,14,15], tungsten (W) [16,17,18], titanium (Ti) [19,20] and their composites with nitrogen (N), carbon (C) or Si, such as Ta/TaN [21,22,23,24,25], W2N [26,27,28], TiN [29,30,31,32], TiC [33,34,35], TaSiN [36,37,38], Si3N4 [39] and so on. As those state-of-the-art barrier materials are typically poorly conductive, pre-deposition of a Cu seed layer is often needed for the electroplating of Cu, but the Cu seed layer is prone to dissolution in an acidic electrolyte in the subsequent ED process, making it hard to obtain a uniform Cu layer. However, direct plating of uniform Cu film on diffusion barrier materials is of crucial importance in the modern fabrication process. This review briefly summaries the latest development in Cu barrier materials, including state-of-art Ta/TaN, platinum group metals (PGMs) such as ruthenium (Ru)-based materials, 2D materials, self-assembled molecular layers (SAMs) and high-entropy alloys (HEAs). Some of those new barrier materials provide not only reliable Cu diffusion barrier properties during thermal annealing, but also anti-corrosion of Cu in the electrolyte. High-quality ultra-thin film of CVD graphene [40], hexagonal boron nitride (h-BN) [41], magnetron sputtering HEAs [42] and dip-coated SAMs [43] have shown great ability to prevent metals from corrosion in salty solution. In addition, some metal oxide layers (e.g., Ru oxide [44] and Ir oxide [45]) have also been proven as reliable metal corrosion resistants.

2. Cu Interconnects and Diffusion Barrier Materials

Cu interconnects function as internal wiring, connect each circuit compartment and distribute power. In the damascene process, the Cu wiring technique can be vividly demonstrated by the so-called Cu cycle [46] depicted in Figure 1, which combines a series of individual processing steps to fabricate a single level of Cu interconnect architecture on a Si wafer.
Typically, the Cu cycle starts from the deposition of a low-κ dielectric layer on the Si wafer. Afterwards, the dielectric film is patterned by lithographic method. Then a thin film of Ta/TaN diffusion barrier layer is deposited on top of the dielectric pattern by means of PVD or CVD. Due to the low conductivity and poor nucleation behavior of Cu on the Ta/TaN layer, vacuum deposition of Cu seed-layer is needed to ensure that the following ED process of Cu is able to superfill the damascene features. Finally, a CMP process is conducted to remove the over-plated Cu. The Cu cycle restarts with the deposition of another dielectric layer. During the whole cycle, the defect-free filling of Cu in the damascene trenches is crucial.
However, according to Moore’s law [47], the number of transistors in a chip doubles every two years, that is, next generation devices demand the continuous decrease of feature sizes, which as a consequence increases the difficulty of defect-free filling of the trenches in the damascene process. It is well known that the resistances of conventional diffusion barrier materials are too high to be the substrate for direct ED of Cu [48,49,50,51], and an unpleasant phenomenon called “terminal effect” often appears [11,52,53,54,55,56,57,58,59]. This effect becomes more pronounced with the transition from 200 to 300 mm Si wafer. Normally the electrical contact is placed at the periphery of the wafer. When the ED of Cu is performed on a resistive substrate, there is a dramatic IR drop (potential gradient) across the wafer from the contact point to the wafer center, resulting in the non-uniform distribution of current over the resistive substrate with inhomogeneous Cu ED deposition. For this reason, an extra Cu seed layer has to be deposited in advance via PVD [60,61,62,63], CVD [64,65,66,67,68,69], ALD [70,71,72,73] or electroless methods [74,75,76,77,78]. The seed layer prepared by some methods such as PVD or electroless deposition normally causes undesired “over-hang” at the trench opening, which becomes devastating within the sub-45 nm region and results in unsuccessful filling in the following Cu ED process, as illustrated in Figure 2 [79].
To avoid this, new barrier materials and techniques must be developed. Desirable characteristics for ideal diffusion barrier materials have been proposed [80,81], including (1) excellent adhesion to Cu metal and dielectric layer; (2) immiscibility with Cu and an ability to prevent Cu diffusion at high temperatures; (3) good conductivity for direct ED of Cu; and (4) simplicity of uniform deposition of ultra-thin film on dielectric layer. In order to find a suitable replacement of traditional barrier materials, attention has been paid to PGM-based materials (e.g., Ru [82,83,84,85], iridium Ir [86,87,88,89], palladium Pd [90] and their composites with other materials [91,92,93,94,95,96,97]), 2D materials (e.g., graphene [98,99], hexagonal boron nitride h-BN [100], and molybdenum disulfide MoS2 [101,102]), SAMs [103,104,105,106,107] and HEAs [108,109,110,111]. The comparison of properties between new barrier materials and traditional Ta/TaN is listed in Table 1. Compared to Ta/TaN, PGMs (e.g., Ru and Ir) and 2D materials (e.g., graphene) have lower electrical resistivity and comparable melting point. The SAMs’ electrical resistivity and melting point strongly depend on their molecular nature, while HEAs have a poor electrical resistivity and their melting point is normally over 1000 °C. SAMs have the easiest deposition method by immersing substrate into the solution containing appropriate molecules. Concerning the layer thickness, 2D materials hold great potential for size downscaling, as single-layer graphene is only one-atom thick.

3. Platinum Group Metals (PGM)-Based Materials

Among PGM metals, Ru receives the most attention. It is an air-stable metal with a much lower electrical resistivity (ρRu = 7.1 μΩ·cm) [83] compared to that of Ta (ρTa= 13 μΩ·cm), which allows the direct electrochemical plating of Cu. More importantly, Ru has a melting point as high as 2334 °C [112], shows negligible solubility [113,114,115] but fantastic wettability with Cu and exhibits excellent adhesion to electroplated Cu at elevated temperatures [82,83,84,85]. Therefore, Ru has been considered as a promising candidate to replace the traditional diffusion barrier materials. Thin films of a Ru barrier layer can be placed on solid substrate via gas phase deposition methods such as PVD, CVD and ALD, or wet deposition methods such as ED and electroless plating. Chyan et al. [84] showed a successful example of the direct ED of a conformal Cu coating layer with controllable thickness on polycrystalline Ru electrode. Annealing up to 600 °C caused no apparent dewetting at the Cu/Ru interface, and more importantly, there was no new phase formed upon further annealing at 800 °C. Chan et al. [85] demonstrated that a high-quality thin film of Cu layer can be formed on top of a 20 nm thin film of Ru deposited on a Si wafer via a standard magnetron sputtering system, showing a Cu ED efficiency as high as 95%. The 20 nm Ru film was sufficient to prevent Cu from diffusing into Si upon annealing at 450 °C for 10 min, but the delamination of Ru thin film from a Si wafer can be seen at 550 °C, resulting in the penetration of Cu into Si substrate. However, reduction of the thickness of Ru layer leads to a decrease in both the Cu ED efficiency and the Cu diffusion-proof temperature. Arunagiri et al. [83] showed that even though a Ru thin film with reduced thickness of 5 nm was able to arrest the diffusion of Cu into Si after annealing at 300 °C for 10 min, only a Cu ED efficiency around 90% was obtained, and a new ruthenium silicide phase was formed at the temperature of 450 °C. Accordingly, a failure mechanism of Cu/Ru/Si system (Figure 3) was proposed by Damayanti et al. [116]. They suggested that the failure appeared at high annealing temperatures and was initiated by the formation of polycrystalline ruthenium silicide, further promoting the diffusion of Cu into Si substrates with the formation of copper silicide protrusions.
In a way, the quality of Ru thin film has a decisive influence upon the Cu interdiffusion behavior. The presence of Ru grain boundaries work as defective sites and greatly accelerate Cu interdiffusion through the Ru barrier layer. Chan et al. [85] provided evidence that the failure of using a thin Ru film as Cu diffusion barrier layer was caused by its columnar grain structure, which promoted the penetration of Cu atoms into the Si substrate at elevated temperatures. Their cross-sectional transmission electron microscopy (TEM) results clearly show columnar microstructures of a thin film of Ru oriented vertically on the Si substrate. Upon annealing at 550 °C, there was the detachment of Ru thin film from the Si substrate and the penetration of Cu through the Ru columnar grain boundaries into the Si underneath, resulting in the degradation of the Cu/Ru/Si system. However, the introduction of foreign substances into Ru thin film can cause the formation of amorphous structures, thereby eliminating the formation of columnar structure, controlling the grain boundaries and eventually improving the Cu interdiffusion resistance. Damayanti et al. [117] proved that the dissolution of nitrogen into a Ru thin film created an amorphous structure with a 10 times higher sheet resistance, and the doping delayed the formation of ruthenium silicide and reduced the Cu diffusion into the dielectric layer.
Figure 4 shows a comparison between the polycrystalline Ru and the amorphous Ru-N thin films prepared by a sputtering deposition method based on the TEM images and X-ray diffraction (XRD) spectra. The Ru barrier sputtered in Ar was in the form of polycrystalline with columnar structures (Figure 4a). In contrast, the sputtered Ru in nitrogen atmosphere arranged into amorphous structure, as nitrogen atoms occupied the interstitial sites and disrupted the crystallization of Ru. Upon annealing, nitrogen atoms were released from the Ru-N lattice and Ru atoms rearranged into a hexagonal structure. It has been suggested that a large number of nitrogen atoms got into the grain boundaries during the recrystallization of Ru crystal, serving as a “grain boundary stuffing” to prevent Cu diffusion. X-ray diffraction (XRD) results supported the proposition. Figure 4c shows the XRD spectra of Ru/Si system at different annealing temperatures. Peaks of crystalline Ru became narrower and more pronounced when the annealing temperature arose from 500 °C to 700 °C, likely caused by the gradual growth of nanocrystalline Ru grain at higher temperatures. When the temperature was above 700 °C, peaks of Ru2Si3 appeared, along with the gradual disappearance of peaks of crystalline pure Ru. Some distinct behaviors in the XRD spectra of the Ru-N/Si system were revealed, as shown in Figure 4d. A single broad peak at 2-Theta = 33.5° (marked by rhomboid) was present in the as-deposited Ru-N/Si sample. It was attributed to the formation of embryonic Ru-N clusters and moved to a higher 2-Theta number upon annealing at 200 °C due to the stress relaxation. It was worth noticing that the decomposition of Ru-N and the rearrangement of Ru atoms started at 275 °C. From 275 °C to 800 °C, the absence of an Ru-N peak and existence and growth of Ru peaks can be identified. While Ru2Si3 was already formed in Ru/Si system at 700 °C, the failure temperature of Ru-N/Si system was up to 900 °C.
Many studies [118,119,120,121,122,123,124] have demonstrated that some foreign elements, such as boron (B), phosphorus (P) and carbon (C) are able to induce the formation of amorphous Ru thin films and thereby significantly improve the ability of Ru thin films to prevent Cu interdiffusion. A 12 nm thin film of Ru (P) deposited on a low-κ dielectric layer effectively prevented the diffusion of Cu into Si wafer at 800 °C for 5 min [124]. Perng et al. [123] proved that the temperature of forming an amorphous phase of a 5 nm thin film of Ru-B-C was significantly higher than that of pure Ru under thermal annealing and the doped film was thermally stable up to 750 °C. Metal elements can also be applied as dopant to form an amorphous structure with Ru and increase its thermal stability. Chen et al. [125] showed that a 15 nm amorphous Ru-Ta thin film was able to resist Cu interdiffusion at 700 °C for 30 min. Yeh et al. [126] used a 10 nm thin film of amorphous RuW as the seedless Cu diffusion barrier, of which the failure temperature can be as high as 700 °C. Hsu et al. [127] verified that a 5 nm ultrathin film of RuCr can still function as a seedless Cu diffusion barrier after annealing at 650 °C for 30 min. In addition to doping with metallic and non-metallic elements, the incorporation of Ru with traditional barrier materials to form multilayered structures also worked efficiently as direct-electroplating barrier layers. It was proved by Sari et al. [128] that a stacked layer of Ru (7 nm)/ WNx (8 nm) was able to prevent Cu from diffusion into Si upon annealing at 750 °C for 30 min, whereas a 15 nm Ru thin film failed to prevent the formation of Cu3Si at 450 °C. With the help of a plasma-enhanced ALD technique, Kim et al. [129] incorporated Ru with TaN to form an amorphous Ru-TaN barrier layer, and showed that a 10 nm thin Ru-TaN film of can resist Cu diffusion at 700 °C for 30 min. Kim et al. [130] further showed that a 4 nm Ru layer deposited on a 2 nm TaCN thin film exhibits excellent Cu diffusion-proof ability while annealing at 550 °C for 30 min. In addition, the Ru oxide layer is a well-known diffusion barrier layer for metal anti-corrosion. The thin film of RuO2 can be prepared via cost-effective methods such as sol-gel, spin-coating and dip-coating approaches. However, due to its high resistivity (ρRuO2 = 35.2 μΩ·cm), a RuO2 layer would arrest further direct electroplating of Cu. Furthermore, a RuO2 layer weakens the adhesion of Cu and the substrate, and that lowers the nucleation density of Cu [131]. Therefore, a thin film of metal Ru, rather than its oxide, is preferred as a barrier layer for direct Cu electroplating.

4. Two-Dimensional (2D) Materials

Since the discovery of graphene in 2004 [132], this one-atom-thick carbon film has gained tremendous attention due to its outstanding mechanical [133], thermal and electronic properties [134,135]. Applying graphene as new barriers is of particular interest because its compact 2D structure is impermeable to any metal atoms, and it is highly thermally and electrically conductive. Of equal importance is its extraordinary thermal and chemical stability. Graphene has been proven to be a reliable barrier material for preventing Cu diffusion [136,137]. Bong et al. [138] tested the ability of using CVD-grown graphene, graphene oxide (GO) and reduced graphene oxide (rGO) as Cu diffusion barriers. CVD-grown graphene showed the best Cu diffusion barrier performance. Similar to Ru barrier layer, the grain boundary size had a big impact on the performance of a graphene-based diffusion barrier layer, which was demonstrated by Roy et al. [139]. Engineering graphene grain size has been considered crucial for graphene-based barriers.
Figure 5 shows the scanning electron microscopy (SEM) images of bare Cu thin film and single- or multi-layer graphene-covered Cu taken before and after annealing in air at 200 °C for 240 min. Before annealing, bare Cu (Figure 5a) appeared as a smooth and polycrystalline thin film. Cu samples covered with one, two and four layers of graphene were named SLGx1_Cu (Figure 5b), SLGx2_Cu (Figure 5c) and SLGx4_Cu (Figure 5d), respectively. Cu2O was formed upon the annealing of Cu in air at 200 °C for 240 min, manifested as a rather rough surface revealed by SEM (Figure 5e). In comparison, significantly less oxidation or perturbation was observed on the surface of single-layer graphene-covered Cu, and changes only appeared at the grain boundaries and defective areas (Figure 5f). Even less oxidation was formed on SLGx2_Cu (Figure 5g) and barely any oxidation or perturbation were revealed on the SLGx4_Cu surface after annealing (Figure 5h). These results suggest that number of layers and grain size of graphene can effectively affect its barrier properties.
Nguyen et al. [140] showed that a Cu diffusion barrier made up of 1 nm-thick graphene tri-layer was thermally stable up to 700 °C for 30 min. The degradation started at 750 °C in 5 min whereas the breakdown occurred at 800 °C in 5 min. Figure 6a clearly showed that the trilayer graphene remained intact after annealing at 700 °C for 30 min and there was no apparent penetration of Cu into SiO2 or Si layers. In contrast, the formation of Cu3Si can be identified from Figure 6b, due to the structure damage of trilayer graphene at 800 °C for 5 min followed by Cu diffusion through the defects. In addition, Hong et al. [141] managed to improve the Cu diffusion barrier ability by engineering the grain size of single layer graphene. Their experimental results confirmed that single-layer graphene with average grain size of 2 ± 1 µm was thermally stable after annealing at 700 °C for 30 min whereas single-layer graphene with average grain size of 10 ± 2 µm was thermally stable after annealing at 900 °C for 30 min.
2D materials such as h-BN and transition metal dichalcogenides (TMDs) have also been explored as efficient Cu diffusion barriers. Liu et al. [142] showed that a few h-BN layers deposited by CVD on various metal substrates were impervious to oxygen diffusion even at high temperatures. Shen et al. [143] and Ren et al. [144] further confirmed that ultra-thin layer of h-BN can serve as a long-term oxidation-proof barrier for Cu due to its high impermeability and insulating characteristics. Furthermore, Lo et al. [145] showed the feasibility of using h-BN thin film as Cu diffusion barrier layer to block Cu penetration into dielectric layer. However, a drawback of applying h-BN as a Cu diffusion barrier is its resistive nature, arresting the direct ED of Cu on top. Furthermore, Mertens [146] showed that Cu intercalation into h-BN may occur through the defective areas during the ED process, leading to the delamination of h-BN layer. 2D TMDs such as molybdenum disulfide (MoS2), tungsten disulfide (WS2), molybdenum diselenide (MoSe2) and tungsten diselenide (WSe2) have also been proven to be potential candidates for the replacement of the traditional barrier [147]. Lo et al. [148] showed that single-layer MoS2 can be directly grown on dielectric layer by metal organic CVD with the size of high-quality layer achieved up to 1 cm2. Their results proved that single-layer MoS2 can effectively suppress Cu diffusion and dramatically increase the lifetime of a dielectric layer.

5. Self-Assembled Molecular Layers (SAMs)

Self-assembled monolayers with long-range order are formed by the spontaneous organization of molecules under thermodynamic or kinetic control. As a replacement for traditional barrier materials, it has been shown by Mikami et al. [149] that the lifetime of 1.7 nm-thick P containing SAM diffusion barrier is comparable to that of a 20 nm-thick Ta film. A self-assembled monolayer formed by P containing molecules showed excellent barrier performance owing to the formation of a Cu–P complex during annealing. Yoshino et al. [150] compared the barrier performance of SAM-modified SiO2 samples prepared with P, C and N containing molecules with an identical chemical structure. Barrier effect was observed by time-dependent dielectric breakdown measurements, suggesting that the time-to-breakdown of P-SAM modified SiO2 was 10 times longer than that of bare SiO2 while C-SAM and N-SAM showed inconspicuous improvements. It has been proved that the nature of SAMs’ terminal groups can significantly influence the barrier effect against Cu diffusion [151,152]. Caro et al. [153] evaluated a long list of SAMs with different head groups, chain lengths and terminal groups and concluded that -NH2 terminated SAM is the most promising barrier material for Cu diffusion.
Caro et al. [106] further built up a Cu/NH2-SAM/SiO2 system by immersing pre-cleaned SiO2 into toluene solution of 3-aminopropyltrimethoxysilane to prepare sub-nm NH2-SAM as Cu diffusion barrier (Figure 7) and they found no evidence of Cu penetration through NH2-SAM up to 400 °C. However, failure occurred upon further annealing due to the weakened adhesion at the Cu/NH2-SAM interface at higher temperatures. To enhance the adhesion of NH2-SAM with Cu, Chung et al. [154] prepared a cleaned SiO2/Si substrate with (3-aminopropyl) trimethoxysilane to form a -NH2 terminated surface and then let it react with 3-mercaptopropionic acid to form a -SH terminal group on the top of NH2-SAM. The coupled SAM showed a significant increase of about 33% on the load force and interfacial adhesion energy.
Sharma et al. [155] modified the preparation procedure of NH2-SAM by immersing hydroxyl-terminated SiO2 into a toluene solution of 3-aminopropyltrimethoxysilane in the presence of a trace amount of water. 3-Aminopropyltrimethoxysilane molecules turned into hydroxyl-terminated Si through hydrolysis, which strongly bonded with hydroxyl-terminated SiO2 and nearby molecules via dehydration to form a stable monolayer. The Cu/NH2-SAM/SiO2 was functional as a barrier layer up to 600 °C.

6. High-Entropy Alloys (HEAs)

The concept of HEAs were first reported by Yeh in 2004 [156]. This new type of material is normally formed by mixing multi-principal (more than five) metallic elements in equal molar ratio. HEAs have been fabricated by physical and chemical methods [157], including a magnetron sputtering method [158,159,160], laser cladding [161,162], electrochemical deposition [163], electron beam evaporation deposition [164], and so on. Among those methods, the magnetron sputtering and laser cladding are the most widely used fabrication methods. HEAs show excellent properties such as high thermal stability, resistance to interdiffusion, hardness and chemical stability, thanks to their widely-known high-entropy, sluggish diffusion, lattice distortion and “cocktail” effects. The high entropy is the most important feature of HEAs, referring to that the solid-solution structure is more favorable than intermetallic compound due to the increased configurational entropy [165,166] in alloys with 5 or more elements. The sluggish diffusion effect suggests that the diffusion in HEAs is much slower than that in conventional alloys, which explains the high thermal and mechanical stability of HEAs [167,168,169]. Because HEAs are formed by mixing various elements, lattice distortion is unavoidably caused. Many researchers have observed the lattice distortion with the assistance of high-resolution TEM [170,171] and XRD [172]. Normally, the lattice strain is proportional to the misfit parameter of atomic size difference in HEAs, increasing their strength and hardness [173,174]. HEAs are defined by the componential elements, but mixing them often gives unique and unexpected properties, known as the “cocktail” effect first proposed in 2003 by S. Ranganathan [175]. These merits make HEAs a potential candidate of barrier material.
It is well known that the main challenges in using conventional metallic materials as a diffusion barrier are: (1) the Cu diffusion through the grain boundaries of metallic barriers, (2) the reaction between metallic barriers and Si [176], and (3) the chemical stability of doped metallic barrier is often insufficient to avoid the reaction with Si after annealing [176]. In contrast, previous studies clearly indicated that HEAs can easily form solid-solution or amorphous structures to suppress Cu interdiffusion, oxide film nucleation and growth, owing to the high configurational entropy and large lattice distortion caused by the different sizes of mixed atoms [177,178,179].
The lattice parameters and corresponding properties can be easily tuned by altering the molar ratio of the mixed elements. Tung et al. [180] synthesized 7 different AlCoCrCuFeNi high-entropy alloys via melting and casting method and demonstrated that the transformation of type of microstructure and the lattice constant resulted in changes of the materials’ hardness, as shown in Table 2 [180]. Reducing the content of the Al or Cr element significantly decreased the hardness of the HEAs, because the body-centered cubic (BCC) phase (enhanced by Al and Cr elements in the system) is much harder than the face-centered cubic (FCC) phase (enhanced by Co, Cu, Fe and Ni elements), according to the basic structure factor and solution-hardening mechanism, which was further confirmed by Tong et al. [181]. Interestingly, the introduction of nitrogen element into HEAs can also effectively improve HEAs’ hardness and Young’s modulus by filling the interstitial positions of the HEAs’ lattice [163], and can also suppress the crystalline structure formation [182].
Chen et al. [183] investigated the effect of nitrogen content on the morphology and property of HEA-N. It can be seen from the SEM images shown in Figure 8a, a-1 that the N-free VAlTiCrMo HEA arranged into regular tetrahedral columnar structures with an average width over 100 nm perpendicular to the substrate. The surface morphology changed dramatically upon the introduction of nitrogen with a flow rate of 100 standard cubic centimeters per minute (sccm). The gaps between tetrahedral columns were filled by many small particles to form a more compact structure, and the density of barrier layer arises in response to the increasing of content of nitrogen, as shown in Figure 8b–d. The Figure 8e showed an uniform element distribution along the thickness direction of the (VAlTiCrMo)Nx-800 barrier layer, provided by the energy-dispersive spectrometry.
Jiang et al. [184] tested the feasibility of using HEA-N as barrier to prevent Cu diffusion at high temperatures. Nitrogen atoms were introduced into AlCrTaTiZr HEA lattice under content control, in order to prepare AlCrTaTiZr/AlCrTaTiZr-N composite. The diffusion barrier performance of such material was tested under thermal annealing up to 900 °C and no Cu–Si intermetallic compounds were generated. The barrier property can be attributed to the amorphous structure of AlCrTaTiZr layer and increased Cu diffusion distance caused by the lattice mismatch between AlCrTaTiZr and AlCrTaTiZr-N layers.

7. Conclusions and Perspectives

The “revolution” ignited by the introduction of Cu interconnects has boosted the development of the IC industry. As the technical demands grow, reliable Cu diffusion barrier materials should play significant roles not only in physical separation between Cu and the dielectric layer, but also in the prevention of Cu diffusion at high temperatures while downscaling feature size. As discussed in depth above, potential barrier materials such as Ru-based materials, 2D materials, SAMs and HEAs have proven their great ability to prevent Cu from diffusing even at few nm thickness, which is essential for continuous downsizing of transistors. Ultra-thin film of Ru is conductive enough for direct ED of Cu in a damasence process and has a good barrier effect against Cu diffusion, whereas the quality of Ru thin films is of crucial importance for their application as barriers to prevent Cu penetration at high temperatures, and that integrating Ru with foreign elements can bring significant changes to its crystalline structure, thereby enhancing the barrier ability. Two-dimensional materials have been proven to be good barrier materials despite the fact that they are in the form of a single- or few-atomic layers. In particular, 2D heterostructures holds great potential for barrier application as in some cases the resistance of some 2D materials, such as MoS2, h-BN and WS2, are too high for the direct ED of Cu and the layered arrangement can remedy the surface imperfection of individual layer. SAMs are flexible enough to cover the defects and stepedges of the substrate surface and can effectively prevent Cu diffusion. Current research has been focusing on the electroless method for the attachment of Cu to SAMs, as SAMs are not conductive enough for direct electroplating. However, ED of Cu on SAMs can possibly be realized with the help of conductive SAMs or by pre-depositing metal seeds on the top of SAMs. Mixing diverse elements with different sizes can increase configurational entropy, cause large lattice distortion and suppress the formation of the crystalline structure. Due to the high thermal and mechanical stability of HEAs and HEA-N, they have been proven to be efficient barrier materials for restraining Cu penetration at high temperatures.
Although plenty of work has been conducted on the development of credible barrier materials, there remain some unsolved issues such as the poor quality of Ru thin film grown via PVD or CVD and the defects and stepedges on 2D materials formed in manufacturing. To be specific, the growth of Ru thin films via PVD or CVD often leads to a columnar structure and grain boundaries, which are vulnerable to Cu diffusion at elevated temperatures. Therefore, there is a pressing need to develop Ru manufacturing protocols for the production of high-quality thin film of Ru. Electrochemical deposition of a Ru barrier layer is of particular attraction due to the formation of a conformal thin film with a well-ordered structure. To amend their imperfection caused in manufacturing, the incorporation of 2D materials with SAMs is a good option, as SAMs can preferentially cover the defective areas on 2D materials such as pinholes or stepedges.

Author Contributions

Writing-Original Draft Preparation, Z.L. and Y.T.; Writing-Review & Editing, C.T. and H.C.; Supervision, C.T. and H.C.; Project Administration, C.T. and H.C.; Funding Acquisition, C.T. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by Science &Technology Department of Jiangsu Province, Nanjing Tech University and State Key Laboratory of Materials-Oriented Chemical Engineering, Shenzhen International Cooperation Research Project (GJHZ20190819151807167) and Post-doctoral Foundation Project of Shenzhen Polytechnic.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Andricacos, P.C. Copper On-Chip Interconnections. Electrochem. Soc. Interface. 1999, 8, 32–37. [Google Scholar]
  2. Li, B.; Sullivan, T.D.; Lee, T.C.; Badami, D. Reliability Challenges for Copper Interconnects. Microelectron. Reliab. 2004, 44, 365–380. [Google Scholar] [CrossRef]
  3. Dang, R.L.M.; Shigyo, N. Coupling Capacitances for Two-Dimensional Wires. IEEE Electr. Device 1981, 2, 196–197. [Google Scholar] [CrossRef]
  4. Stamper, A.K.; Fuselier, M.B.; Tian, X. Advanced Wiring RC Delay Issues for Sub-0.25-Micron General CMOS. Proc. Int. Interconnet. Tech. Conf. 1998, 62–68. [Google Scholar] [CrossRef]
  5. Zuckerman, L. IBM to Make Smaller and Faster Chips. The New York Times, 22 September 1997; D1. [Google Scholar]
  6. Nitta, T.; Ohmi, T.; Otsuki, M.; Takewaki, T.; Shibata, T. Electrical Properties of Giant-Grain Copper Thin Films Formed by a Low Kinetic Energy Particle Process. J. Electrochem. Soc. 1992, 139, 922–927. [Google Scholar] [CrossRef]
  7. Hu, C.K.; Harper, J.M.E. Copper Interconnections and Reliability. Mater. Chem. Phys. 1998, 52, 5–16. [Google Scholar] [CrossRef]
  8. Zhao, B.; Feiler, D.; Ramanathan, V.; Liu, Q.Z.; Brongo, M.; Wu, J.; Zhang, H.; Kuei, J.C.; Young, D.; Brown, J.; et al. Dual Damascene Interconnect of Copper and Low Permittivity Dielectric for High Performance Integrated Circuits. Electrochem. Solid State Lett. 1998, 1, 276–278. [Google Scholar] [CrossRef]
  9. Andricacos, P.; Uzoh, C.; Dukovic, J.; Horkans, J.; Deligianni, H. Damascene Copper Electroplating for Chip Interconnections. IBM. J. Res. Dev. 1998, 42, 567–574. [Google Scholar] [CrossRef]
  10. Lizama-Tzec, F.I.; Canché-Canul, L.; Oskam, G. Electrodeposition of Copper into Trenches from a Citrate Plating Bath. Electrochim. Acta. 2011, 56, 9391–9396. [Google Scholar] [CrossRef]
  11. Akolkar, R. Current Status and Advances in Damascene Electrodeposition. Encycl. Interfacial Chem. Surf. Sci. Electrochem. Elsevier 2018, 24–31. [Google Scholar] [CrossRef]
  12. Chang, C.A. Outdiffusion of Cu through Au: Comparison of (100) and (111) Cu Flms Epitaxially Deposited on Si, and Effects of Annealing Ambients. Appl. Phys. Lett. 1989, 55, 2754–2756. [Google Scholar] [CrossRef]
  13. Holloway, K.; Fryer, P.M. Tantalum as a Diffusion Barrier between Copper and Silicon. Appl. Phys. Lett. 1990, 57, 1736–1738. [Google Scholar] [CrossRef]
  14. Holloway, K.; Fryer, P.M.; Cabral, C., Jr.; Harper, J.M.E.; Bailey, P.J.; Kelleher, K.H. Tantalum as a Diffusion Barrier between Copper and Silicon: Failure Mechanism and Effect of Nitrogen Additions. J. Appl. Phys. 1992, 71, 5433–5444. [Google Scholar] [CrossRef] [Green Version]
  15. Catania, P.; Doyle, J.P.; Cuomo, J.J. Low Resistivity Body-Centered Cubic Tantalum Thin Films as Diffusion Barriers between Copper and Silicon. J. Vac. Sci. Technol. 1992, 10, 3318–3321. [Google Scholar] [CrossRef]
  16. Shen, B.W.; Smith, G.C.; Anthony, J.M.; Matyi, R.J. Diffusion Barrier Properties of Thin Selective Chemical Vapor Deposited Tungsten Films. J. Vac. Sci. Technol. 1986, 4, 1369–1376. [Google Scholar] [CrossRef]
  17. Park, K.S.; Kim, S. Seedless Copper Electrodeposition onto Tungsten Diffusion Barrier. J. Electrochem. Soc. 2010, 157, D609–D613. [Google Scholar] [CrossRef]
  18. Pauleau, Y.; Dassapa, F.C.; Lami, P.; Oberlin, J.C.; Romagna, F. Silicide Formation in Metal/Si Structures and Diffusion Barrier Properties of CVD Tungsten Films. J. Mater. Res. 1989, 4, 156–162. [Google Scholar] [CrossRef]
  19. Ting, C.Y.; Wittmer, M. The Use of Titanium-Based Contact Barrier Layers in Silicon Technology. Thin Solid Films 1982, 96, 327–345. [Google Scholar] [CrossRef]
  20. Farahani, M.M.; Turner, T.E.; Barnes, J.J. Evaluation of Titanium as a Diffusion Barrier between Aluminum and Silicon for 1.2 μm CMOS Integrated Circuits. J. Electrochem. Soc. 1987, 134, 2835. [Google Scholar] [CrossRef]
  21. Cho, S.L.; Kim, K.B.; Min, S.H.; Shin, H.K.; Kimd, S.D. Diffusion Barrier Properties of Metallorganic Chemical Vapor Deposited Tantalum Nitride Films against Cu Metallization. J. Electrochem. Soc. 1999, 146, 3724. [Google Scholar] [CrossRef]
  22. Xie, Q.; Qu, X.P.; Tan, J.J.; Jiang, Y.L.; Zhou, M.; Chen, T.; Ru, G.P. Superior Thermal Stability of Ta/TaN Bi-Layer Structure for Copper Metallization. Appl. Surf. Sci. 2006, 253, 1666–1672. [Google Scholar] [CrossRef]
  23. Fréty, N.; Bernard, F.; Nazon, J.; Sarradin, J.; Tedenac, J.C. Copper Diffusion into Silicon Substrates through TaN and Ta/TaN Multilayer Barriers. J. Phase. Equilib. Diff. 2006, 27, 590–597. [Google Scholar] [CrossRef]
  24. Bryner, J.; Profunser, D.M.; Vollmann, J.; Mueller, E.; Dual, J. Characterization of Ta and TaN Diffusion Barriers beneath Cu Layers Using Picosecond Ultrasonics. Ultrasonics 2006, 44, e1269–e1275. [Google Scholar] [CrossRef] [PubMed]
  25. Yang, L.Y.; Zhang, D.H.; Li, C.Y.; Foo, P.D. Comparative Study of Ta, TaN and Ta/TaN Bi-Layer Barriers for Cu Ultra Low-k Porous Polymer Integration. Thin Solid Films 2004, 462, 176–181. [Google Scholar] [CrossRef]
  26. Suh, B.S.; Lee, Y.J.; Hwang, J.S.; Park, C.O. Properties of Reactively Sputtered WNx as Cu Diffusion Barrier. Thin Solid Films 1999, 348, 299–303. [Google Scholar] [CrossRef]
  27. Uekubo, M.; Oku, T.; Nii, K.; Murakami, M.; Takahiro, K.; Yamaguchi, S.; Nakano, T.; Ohta, T. WNx Diffusion Barriers between Si and Cu. Thin Solid Films 1996, 286, 170–175. [Google Scholar] [CrossRef]
  28. Lee, B.H.; Yong, K. Diffusion Barrier Properties of Metalorganic Chemical Vapor Deposition-WNx Compared with Other Barrier Materials. J. Vac. Sci. Technol. 2004, 22, 2375–2379. [Google Scholar] [CrossRef]
  29. Rha, S.K.; Lee, W.J.; Lee, S.Y.; Hwang, Y.S.; Lee, Y.J.; Kim, D.I.; Kim, D.W.; Chun, S.S.; Park, C.O. Improved TiN Film as a Diffusion Barrier between Copper and Silicon. Thin Solid Films 1998, 320, 134–140. [Google Scholar] [CrossRef]
  30. Uhm, J.; Jeon, H. TiN Diffusion Barrier Grown by Atomic Layer Deposition Method for Cu Metallization. Jpn. J. Appl. Phys. 2001, 40, 4657–4660. [Google Scholar] [CrossRef]
  31. Gagnon, G.; Currie, J.F.; Brebner, J.L.; Darwall, T. Efficiency of TiN Diffusion Barrier between Al and Si Prepared by Reactive Evaporation and Rapid Thermal Annealing. J. Appl. Phys. 1996, 79, 7612–7620. [Google Scholar] [CrossRef]
  32. Wang, S.Q.; Raaijmakers, I.; Burrow, B.J.; Suthar, S.; Redkar, S.; Kim, K.B. Reactively Sputtered TiN as a Diffusion Barrier between Cu and Si. J. Appl. Phys. 1990, 68, 5176–5187. [Google Scholar] [CrossRef]
  33. Appelbaum, A.; Murarka, S.P. TiC as a Diffusion Barrier between Al and CoSi2. J. Vac. Sci. Technol. 1986, 4, 637–640. [Google Scholar] [CrossRef]
  34. Wang, S.J.; Tsai, H.Y.; Sun, S.C. Characterization of Sputtered Titanium Carbide Film as Diffusion Barrier for Copper Metallization. J. Electrochem. Soc. 2001, 148, C563–C568. [Google Scholar] [CrossRef] [Green Version]
  35. Eizenberg, M.; Brener, R.; Murarka, S.P. Thermal Stability of the Aluminum/Titanium Carbide/Silicon Contact System. J. Appl. Phys. 1984, 55, 3799–3803. [Google Scholar] [CrossRef]
  36. Angyal, M.S.; Shacham-Diamand, Y.; Reid, J.S.; Nicolet, M.A. Performance of Tantalum-Silicon-Nitride Diffusion Barriers between Copper and Silicon Dioxide. Appl. Phys. Lett. 1995, 67, 2152–2154. [Google Scholar] [CrossRef]
  37. Hara, T.; Yoshida, Y.; Toida, H. Improved Barrier and Adhesion Properties in Sputtered TaSiN Layer for Copper Interconnects. Electrochem. Solid State Lett. 2002, 5, G36–G39. [Google Scholar] [CrossRef]
  38. Girll, A.; Jahnes, C.; Cabral, C. Layered TaSiN as an Oxidation Resistant Electrically Conductive Barrier. J. Mater. Res. 1999, 14, 1604–1609. [Google Scholar] [CrossRef]
  39. Klaus, J.W.; Ott, A.W.; Dillon, A.C.; George, S.M. Atomic Layer Controlled Growth of Si3N4 Films Using Sequential Surface Reactions. Surf. Sci. 1998, 418, L14–L19. [Google Scholar] [CrossRef]
  40. Raman, R.S.; Banerjee, P.C.; Lobo, D.E.; Gullapalli, H.; Sumandasa, M.; Kumar, A.; Choudhary, L.; Tkacz, R.; Ajayan, P.M.; Majumder, M. Protecting Copper from Electrochemical Degradation by Graphene Coating. Carbon 2012, 50, 4040–4045. [Google Scholar] [CrossRef]
  41. Zhang, J.; Yang, Y.; Lou, J. Investigation of Hexagonal Boron Nitride as an Atomically Thin Corrosion Passivation Coating in Aqueous Solution. Nanotechnology 2016, 27, 364004. [Google Scholar] [CrossRef]
  42. Zheng, S.J.; Cai, Z.B.; Pu, J.B.; Zeng, C.; Chen, S.Y.; Chen, R.; Wang, L.P. A Feasible Method for the Fabrication of VAlTiCrSi Amorphous High Entropy Alloy Film with Outstanding Anti-Corrosion Property. Appl. Surf. Sci. 2019, 483, 870–874. [Google Scholar] [CrossRef]
  43. Yang, W.J.; Li, T.Q.; Zhou, H.H.; Huang, Z.; Fu, C.P.; Chen, L.; Li, M.B.; Kuang, Y.F. Electrochemical and Anti-Corrosion Properties of Octadecanethiol and Benzotriazole Binary Self-Assembled Monolayers on Copper. Electrochim. Acta 2016, 220, 245–251. [Google Scholar] [CrossRef]
  44. Fugare, B.Y.; Lokhande, B.J. Study on Structural, Morphological Electrochemical and Corrosion Properties of Mesoporous RuO2 Thin Films Prepared by Ultrasonic Spray Pyrolysis for Supercapacitor Electrode Application. Mat. Sci. Semicon. Proc. 2017, 71, 121–127. [Google Scholar] [CrossRef]
  45. Li, M.; Wang, Y.B.; Zhang, X.; Li, Q.H.; Liu, Q.; Cheng, Y.; Zheng, Y.F.; Xi, T.F.; Wei, S.C. Surface Characteristics and Electrochemical Corrosion Behavior of NiTi Alloy Coated with IrO2. Mat. Sci. Eng. 2013, 33, 15–20. [Google Scholar] [CrossRef]
  46. Broekmann, P. Tailored Design of Suppressor Ensembles for Damascene and 3D-TSV Copper Plating. In Proceedings of the 12th International Fischer Symposium, Keil, Germany, 4 June 2012. [Google Scholar]
  47. Moore, G.E. Cramming More Components onto Integrated Circuits. Proc. IEEE 1998, 86, 82–85. [Google Scholar] [CrossRef]
  48. Fang, J.S.; Chiu, C.F.; Lin, J.H.; Lin, T.Y.; Chin, T.S. Failure Mechanism of 5 nm Thick Ta-Si-C Barrier Layers against Cu Penetration at 700–800 °C. J. Electrochem. Soc. 2009, 156, H147–H152. [Google Scholar] [CrossRef]
  49. Kouno, T.; Niwa, H.; Yamada, M. Effect of TiN Microstructure on Diffusion Barrier Properties in Cu Metallization. J. Electrochem. Soc. 1998, 145, 2164–2167. [Google Scholar] [CrossRef]
  50. Oku, T.; Kawakami, E.; Uecubo, M.; Takahiro, K.; Yamaguchi, S.; Murakami, M. Diffusion Barrier Property of TaN between Si and Cu. Appl. Surf. Sci. 1996, 99, 265–272. [Google Scholar] [CrossRef]
  51. Stavrev, M.; Fischer, D.; Preub, A.; Wenzel, C.; Mattern, N. Study of Nanocrystalline Ta(N,O) Diffusion Barriers for Use in Cu Metallization. Microelectron. Eng. 1997, 33, 269–275. [Google Scholar] [CrossRef]
  52. Bisang, J.M.; Kreysa, G. Study of the Effect of Electrode Resistance on Current Density Distribution in Cylindrical Electrochemical Reactors. J. Appl. Electrochem. 1988, 18, 422–430. [Google Scholar] [CrossRef]
  53. Lee, J.M.; McCrabb, H.; Taylor, E.J.; Carpio, R. Current Distribution for the Metallization of Resistive Wafer Substrates under Controlled Geometric Variations. J. Electrochem. Soc. 2006, 153, C265–C271. [Google Scholar] [CrossRef]
  54. Marshall, S.L.; Wolff, S.K. Analysis of Terminal Effects in Rectangular Electrochemical Cells. Electrochim. Acta 1998, 43, 405–415. [Google Scholar] [CrossRef]
  55. Armini, S.; Vereecken, P.M. Impact of “Terminal Effect” on Cu Plating: Theory and Experimental Evidence. ECS Trans. 2010, 25, 185–194. [Google Scholar] [CrossRef]
  56. Armini, S. Cu Electrodeposition on Resistive Substrates in Alkaline Chemistry: Effect of Current Density and Wafer RPM. J. Electrochem. Soc. 2011, 158, D390–D394. [Google Scholar] [CrossRef]
  57. Choi, J.W.; Guan, O.L.; Mao, Y.J.; Yusoff, H.B.M.; Xie, J.L.; Lan, C.C.; Loh, W.L.; Lau, B.L.; Hong, L.L.H.; Kian, L.G.; et al. TSV Cu Filling Failure Modes and Mechanisms Causing the Failures. IEEE Trans. Comp. Pack. Man. 2014, 4, 581–587. [Google Scholar] [CrossRef]
  58. Yang, L.; Atanasova, T.; Radisic, A.; Deconinck, J.; West, A.C.; Vereecken, P. Wafer-Scale Cu Plating Uniformity on Thin Cu Seed Layers. Electrochim. Acta 2013, 104, 242–248. [Google Scholar] [CrossRef]
  59. Matlosz, M.; Vallotton, P.H.; West, A.C.; Landolt, D. Nonuniform Current Distribution and Thickness during Electrodeposition onto Resistive Substrates. J. Electrochem. Soc. 1992, 139, 752–761. [Google Scholar] [CrossRef]
  60. Sukamto, J.H.; Webb, E.; Andryushchenko, T.; Reid, J. An Evaluation of Electrolytic Repair of Discontinuous PVD Copper Seed Layers in Damascene Vias. J. Appl. Electrochem. 2004, 34, 283–290. [Google Scholar] [CrossRef]
  61. Motoyama, K.; van der Straten, O.; Maniscalco, J.; He, M. PVD Cu Reflow Seed Process Optimization for Defect Reduction in Nanoscale Cu/Low-k Dual Damascene Interconnects. J. Electrochem. Soc. 2013, 160, D3211–D3215. [Google Scholar] [CrossRef]
  62. Lim, S.T.; Park, Y.C.; Yoo, S.J.; Lee, B.J. Customized Step Coverage of Copper Seed Layer Using Eni-PVD (Energetic Neutral and Ion Physical Vapor Deposition). Thin Solid Films 2009, 517, 3935–3937. [Google Scholar] [CrossRef]
  63. Wickramanayaka, S.; Nagahama, H.; Watanabe, E.; Sato, M.; Mizuno, S. Using I-PVD for Copper-Based Interconnects. (Deposition). Solid State Technol. 2002, 45, 67–72. [Google Scholar]
  64. Choi, K.K.; Rhee, S.W. Chemical Vapor Deposition of Copper Film from Hexafluoroacetyl-Acetonate Cu (I) Vinylcyclohexane. Thin Solid Films 2001, 397, 70–77. [Google Scholar] [CrossRef]
  65. Shim, K.C.; Lee, H.B.; Kwon, O.K.; Park, H.S.; Koh, W.; Kang, S.W. Bottom-Up Filling of Submicrometer Features in Catalyst-Enhanced Chemical Vapor Deposition of Copper. J. Electrochem. Soc. 2001, 149, G109–G113. [Google Scholar] [CrossRef]
  66. Kim, H.; Bhandari, H.B.; Xu, S.; Gordon, R.G. Ultrathin CVD Cu Seed Layer Formation Using Copper Oxynitride Deposition and Room Temperature Remote Hydrogen Plasma Reduction. J. Electrochem. Soc. 2008, 155, H496–H503. [Google Scholar] [CrossRef]
  67. Reynolds, S.K.; Smart, C.J.; Baran, E.F.; Baum, T.H.; Larson, C.E.; Brock, P.J. Chemical Vapor Deposition of Copper from 1,5-Cyclooctadiene Copper (I) Hexafluoroacetylacetonate. Appl. Phys. Lett. 1991, 59, 2332–2334. [Google Scholar] [CrossRef]
  68. Kröger, R.; Eizenberg, M.; Cong, D.; Yoshida, N.; Chen, L.Y.; Ramaswami, S.; Carl, D. Properties of Copper Films Prepared by Chemical Vapor Deposition for Advanced Metallization of Microelectronic Devices. J. Electrochem. Soc. 1999, 146, 3248. [Google Scholar] [CrossRef]
  69. Kwon, O.K.; Lee, H.B.; Kang, S.W.; Park, H.S. Enhancement of the Film Growth Rate by Promoting Iodine Adsorption in the Catalyst-Enhanced Chemical Vapor Deposition of Cu. J. Vac. Sci. Technol. 2002, 20, 408–412. [Google Scholar] [CrossRef]
  70. Solanke, R.; Pathangey, B. Atomic Layer Deposition of Copper Seed Layers. Electrochem. Solid State Lett. 2000, 3, 479–480. [Google Scholar] [CrossRef]
  71. Moon, D.Y.; Han, D.S.; Shin, S.Y.; Park, J.W.; Kim, B.M.; Kim, J.H. Effects of the Substrate Temperature on the Cu Seed Layer Formed Using Atomic Layer Deposition. Thin Solid Films 2011, 519, 3636–3640. [Google Scholar] [CrossRef]
  72. Li, Z.W.; Rahtu, A.; Gordon, R.G. Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper (I) Amidinate Precursor. J. Electrochem. Soc. 2006, 153, C787–C794. [Google Scholar] [CrossRef] [Green Version]
  73. Kalutarage, L.C.; Clendenning, S.B.; Winter, C.H. Low-Temperature Atomic Layer Deposition of Copper Films Using Borane Dimethylamine as the Reducing Co-Reagent. Chem. Mater. 2014, 26, 3731–3738. [Google Scholar] [CrossRef]
  74. Lee, C.H.; Hwang, S.; Kim, S.C.; Kim, J.J. Cu Electroless Deposition onto Ta Substrates: Application to Create a Seed Layer for Cu Electrodeposition. Electrochem. Solid State Lett. 2006, 9, C157–C160. [Google Scholar] [CrossRef]
  75. Aithal, R.K.; Yenamandra, S.; Gunasekaran, R.A.; Coane, P.; Varahramyan, K. Electroless Copper Deposition on Silicon with Titanium Seed Layer. Mater. Chem. Phys. 2006, 98, 95–102. [Google Scholar] [CrossRef]
  76. Chong, S.P.; Ee, Y.C.; Chen, Z.; Law, S.B. Electroless Copper Seed Layer Deposition on Tantalum Nitride Barrier Film. Surf. Coat. Technol. 2005, 198, 287–290. [Google Scholar] [CrossRef]
  77. Wang, Z.; Yaegashi, O.; Sakaue, H.; Takahagi, T.; Shingubara, S. Highly Adhesive Electroless Cu Layer Formation Using an Ultra-Thin Ionized Cluster Beam (ICB)-Pd Catalytic Layer for Sub-100 nm Cu Interconnections. Jpn. J. Appl. Phys. 2003, 42, L1223–L1225. [Google Scholar] [CrossRef]
  78. Hsu, H.H.; Teng, C.W.; Lin, S.J.; Yeh, J.W. Sn/Pd Catalyzation and Electroless Cu Deposition on TaN Diffusion Barrier Layers. J. Electrochem. Soc. 2002, 149, C143–C149. [Google Scholar] [CrossRef]
  79. Hong, R.T.; Huang, M.J.; Yang, J.Y. Molecular Dynamics Study of Copper Trench Filling in Damascene Process. Mat. Sci. Semicon. Proc. 2005, 8, 587–601. [Google Scholar] [CrossRef]
  80. Nicolet, M.A. Diffusion Barriers in Thin Films. Thin Solid Films 1978, 52, 415–443. [Google Scholar] [CrossRef]
  81. Pillai, K.S.M. Copper Electrodeposition on Ruthenium-Tantalum and Corrosion of Plasma Treated Copper in Post Etch Cleaning Solution; University of North Texas: Denton, TX, USA, 2011. [Google Scholar]
  82. Kim, H.; Koseki, T.; Ohba, T.; Ohta, T.; Kojima, Y.; Sato, H.; Shimogaki, Y. Cu Wettability and Diffusion Barrier Property of Ru Thin Film for Cu Metallization. J. Electrochem. Soc. 2005, 152, G594–G600. [Google Scholar] [CrossRef]
  83. Arunagiri, T.N.; Zhang, Y.; Chyan, O.; El-Bouanani, M.; Kim, M.J.; Chen, K.H.; Wu, C.T.; Chen, L.C. 5 nm Ruthenium Thin Film as a Directly Plateable Copper Diffusion Barrier. Appl. Phys. Lett. 2005, 86, 083104–083106. [Google Scholar] [CrossRef]
  84. Chyan, O.; Arunagiri, T.N.; Ponnuswamy, T. Electrodeposition of Copper Thin Film on Ruthenium: A Potential Diffusion Barrier for Cu Interconnects. J. Electrochem. Soc. 2003, 150, C347–C350. [Google Scholar] [CrossRef]
  85. Chan, R.; Arunagiri, T.N.; Zhang, Y.; Chyan, O.; Wallace, R.M.; Kim, M.J.; Hurd, T.Q. Diffusion Studies of Copper on Ruthenium Thin Film: A Plateable Copper Diffusion Barrier. Electrochem. Solid State Lett. 2004, 7, G154–G157. [Google Scholar] [CrossRef]
  86. Lim, Y.H.; Yoo, H.; Choi, B.H.; Lee, J.H.; Lee, H.N.; Lee, H.K. Atomic-Layer-Deposited Ir Thin Film as a Novel Diffusion Barrier Layer in Cu Interconnection. Phys. Status Solidi. 2011, 8, 891–894. [Google Scholar] [CrossRef]
  87. Choi, B.H.; Lee, J.H.; Lee, H.K.; Kim, J.H. Effect of Interface Layer on Growth Behavior of Atomic-Layer-Deposited Ir Thin Film as Novel Cu Diffusion Barrier. Appl. Surf. Sci. 2011, 257, 9654–9660. [Google Scholar] [CrossRef]
  88. Song, S.I.; Lee, J.H.; Choi, B.H.; Lee, H.K.; Shin, D.C.; Lee, J.W. Hydrogen-Plasma-Assisted Hybrid Atomic Layer Deposition of Ir Thin Film as Novel Cu Diffusion Barrier. Surf. Coat. Technol. 2012, 211, 14–17. [Google Scholar] [CrossRef]
  89. Josell, D.; Bonevich, J.; Moffat, T.; Aaltonen, T.; Ritala, M.; Leskelä, M. Iridium Barriers for Direct Copper Electrodeposition in Damascene Processing. Electrochem. Solid State Lett. 2006, 9, C48–C50. [Google Scholar] [CrossRef]
  90. Chow, K.M.; Ng, W.Y.; Yeung, L.K. Barrier Properties of Ni, Pd and Pd-Fe for Cu Diffusion. Surf. Coat. Technol. 1998, 105, 56–64. [Google Scholar] [CrossRef]
  91. Kim, Y.S.; Kim, H.I.; Cho, J.H.; Seo, H.K.; Dar, M.A.; Shin, H.S.; Eyck, G.A.T.; Lu, T.M.; Senkevich, J.J. Electroless Copper on Refractory and Noble Metal Substrates with an Ultra-Thin Plasma-Assisted Atomic Layer Deposited Palladium Layer. Electrochim. Acta 2006, 51, 2400–2406. [Google Scholar] [CrossRef]
  92. Leu, L.C.; Norton, D.P.; McElwee-White, L.; Anderson, T.J. Ir/TaN as a Bilayer Diffusion Barrier for Advanced Cu Interconnects. Appl. Phys. Lett. 2008, 92, 111917–111919. [Google Scholar] [CrossRef] [Green Version]
  93. De Reus, R.; Koper, R.J.I.M.; Zeijlemaker, H.; Saris, F.W. Stability of Amorphous Ir-Ta Diffusion Barriers between Cu and Si. Mater. Lett. 1990, 9, 500–503. [Google Scholar] [CrossRef]
  94. Yang, C.C.; Cohen, S.; Shaw, T.; Wang, P.C.; Nogami, T.; Edelstein, D. Characterization of “Ultrathin-Cu”/Ru (Ta)/TaN Liner Stack for Copper Interconnects. IEEE Trans. Electr. Device 2010, 31, 722–724. [Google Scholar] [CrossRef]
  95. Kondati Natarajan, S.; Nies, C.L.; Nolan, M. Ru Passivated and Ru Doped ε-TaN Surfaces as Combined Barrier and Liner Material for Copper Interconnects: A First Principles Study. J. Mater. Chem. 2019, 7, 7959–7973. [Google Scholar] [CrossRef]
  96. Tan, J.J.; Qu, X.P.; Xie, Q.; Zhou, Y.; Ru, G.P. The Properties of Ru on Ta-Based Barriers. Thin Solid Films 2006, 504, 231–234. [Google Scholar] [CrossRef]
  97. Li, J.; Lu, H.S.; Wang, Y.W.; Qu, X.P. Sputtered Ru–Ti, Ru–N and Ru–Ti–N Films as Cu Diffusion Barrier. Microelectron. Eng. 2011, 88, 635–640. [Google Scholar] [CrossRef]
  98. Zhao, Y.; Liu, Z.; Sun, T.; Zhang, L.; Jie, W.; Wang, X.; Xie, Y.; Tsang, Y.; Long, H.; Chai, Y. Mass Transport Mechanism of Cu Species at the Metal/Dielectric Interfaces with a Graphene Barrier. ACS Nano 2014, 8, 12601–12611. [Google Scholar] [CrossRef]
  99. Lee, H.C.; Jo, M.; Lim, H.; Yoo, M.S.; Lee, E.; Nguyen, N.N.; Han, S.Y.; Cho, K. Toward Near-Bulk Resistivity of Cu for Next-Generation Nano-Interconnects: Graphene-Coated Cu. Carbon 2019, 149, 656–663. [Google Scholar] [CrossRef]
  100. Zhao, L.; Lofrano, M.; Croes, K.; Van Besien, E.; Tőkei, Z.; Wilson, C.J.; Degraeve, R.; Kauerauf, T.; Beyer, G.P.; Claeys, C. Evaluations of Intrinsic Time Dependent Dielectric Breakdown of Dielectric Copper Diffusion Barriers. Thin Solid Films 2011, 520, 662–666. [Google Scholar] [CrossRef]
  101. Nies, C.L.; Nolan, M. DFT Calculations of the Structure and Stability of Copper Clusters on MoS2. Beilstein. J. Nanotech. 2020, 11, 391–406. [Google Scholar] [CrossRef]
  102. Jing, D.; Lii-Rosales, A.; Lai, K.C.; Li, Q.; Kim, J.; Tringides, M.C.; Evans, J.W.; Thiel, P.A. Non-Equilibrium Growth of Metal Clusters on a Layered Material: Cu on MoS2. New J. Phys. 2020, 22, 053033. [Google Scholar] [CrossRef]
  103. Ramanath, G.; Cui, G.; Ganesan, P.G.; Guo, X.; Ellis, A.V.; Stukowski, M.; Doppelt, P.; Lane, M. Self-Assembled Subnanolayers as Interfacial Adhesion Enhancers and Diffusion Barriers for Integrated Circuits. Appl. Phys. Lett. 2003, 83, 383–385. [Google Scholar] [CrossRef] [Green Version]
  104. Khaderbad, M.A.; Pandharipande, R.; Singh, V.; Madhu, S.; Ravikanth, M.; Rao, V.R. Porphyrin Self-Assembled Monolayer as a Copper Diffusion Barrier for Advanced CMOS Technologies. IEEE Trans. Electron. Dev. 2012, 59, 1963–1969. [Google Scholar] [CrossRef]
  105. Liu, X.; Wang, Q.; Wu, S.; Liu, Z. Enhanced CVD of Copper Films on Self-Assembled Monolayers as Ultrathin Diffusion Barriers. J. Electrochem. Soc. 2006, 153, C142–C145. [Google Scholar] [CrossRef]
  106. Caro, A.M.; Armini, S.; Richard, O.; Maes, G.; Borghs, G.; Whelan, C.M.; Travaly, Y. Bottom-Up Engineering of Subnanometer Copper Diffusion Barriers Using NH2-Derived Self-Assembled Monolayers. Adv. Funct. Mater. 2010, 20, 1125–1131. [Google Scholar] [CrossRef]
  107. Kong, Z.; Wang, Q.; Ding, L.; Wu, T. Study on Chemical Vapor Deposited Copper Films on Cyano and Carboxylic Self-Assembled Monolayer Diffusion Barriers. Thin Solid Films 2010, 518, 4852–4859. [Google Scholar] [CrossRef]
  108. Tsai, M.H.; Wang, C.W.; Lai, C.H.; Yeh, J.W.; Gan, J.Y. Thermally Stable Amorphous (AlMoNbSiTaTiVZr)50N50 Nitride Film as Diffusion Barrier in Copper Metallization. Appl. Phys. Lett. 2008, 92, 052109. [Google Scholar] [CrossRef]
  109. Li, R.; Li, M.; Jiang, C.; Qiao, B.; Zhang, W.; Xu, J. Thermal Stability of AlCrTaTiZrMo-Nitride High Entropy Film as a Diffusion Barrier for Cu Metallization. J. Alloys Compd. 2019, 773, 482–489. [Google Scholar] [CrossRef]
  110. Chang, S.Y.; Wang, C.Y.; Li, C.E.; Huang, Y.C. 5 nm-Thick (AlCrTaTiZrRu)N0.5 Multi-Component Barrier Layer with High Diffusion Resistance for Cu Interconnects. Nanosci. Nanotech. Lett. 2011, 3, 289–293. [Google Scholar] [CrossRef]
  111. Chang, S.Y.; Chen, M.K.; Chen, D.S. Multiprincipal-Element AlCrTaTiZr-Nitride Nanocomposite Film of Extremely High Thermal Stability as Diffusion Barrier for Cu Metallization. J. Electrochem. Soc. 2009, 156, G37–G42. [Google Scholar] [CrossRef]
  112. Steeves, M.M. Electronic Transport Properties of Ruthenium and Ruthenium Dioxide Thin Films. In Electronic Theses and Dissertations; University of Maine: Orono, ME, USA, 2011; Available online: https://digitalcommons.library.umaine.edu/etd/262 (accessed on 15 October 2020).
  113. Park, C.; Bauer, E.; Poppa, H. A Re-Examination of the Cu/Ru (0001) System. Surf. Sci. 1987, 187, 86–97. [Google Scholar] [CrossRef]
  114. Chu, J.P.; Lin, C.H.; John, V.S. Cu Films Containing Insoluble Ru and RuNx on Barrierless Si for Excellent Property Improvements. Appl. Phys. Lett. 2007, 91, 132109–132111. [Google Scholar] [CrossRef]
  115. Kim, K.H.; Lim, T.; Park, K.J.; Koo, H.C.; Kim, M.J.; Kim, J.J. Investigation of Cu Growth Phenomena on Ru Substrate During Electroless Deposition Using Hydrazine as a Reducing Agent. Electrochim. Acta 2015, 151, 249–255. [Google Scholar] [CrossRef]
  116. Damayanti, M.; Sritharan, T.; Mhaisalkar, S.G.; Phoon, E.; Chan, L. Study of Ru Barrier Failure in the Cu/Ru/Si System. J. Mater. Res. 2007, 22, 2505–2511. [Google Scholar] [CrossRef]
  117. Damayanti, M.; Sritharan, T.; Mhaisalkar, S.G.; Gan, Z.H. Effects of Dissolved Nitrogen in Improving Barrier Properties of Ruthenium. Appl. Phys. Lett. 2006, 88, 044101–044103. [Google Scholar] [CrossRef]
  118. Shin, J.; Waheed, A.; Agapiou, K.; Winkenwerder, W.A.; Kim, H.W.; Jones, R.A.; Hwang, G.S.; Ekerdt, J.G. Growth of Ultrathin Films of Amorphous Ruthenium-Phosphorus Alloys Using a Single Source CVD Precursor. J. Am. Chem. Soc. 2006, 128, 16510–16511. [Google Scholar] [CrossRef]
  119. Perng, D.C.; Yeh, J.B.; Hsu, K.C. Phosphorous Doped Ru Film for Advanced Cu Diffusion Barriers. Appl. Surf. Sci. 2008, 254, 6059–6062. [Google Scholar] [CrossRef]
  120. Shin, J.; Kim, H.W.; Agapiou, K.; Jones, R.A.; Hwang, G.S.; Ekerdt, J.G. Effects of P on Amorphous Chemical Vapor Deposition Ru-P Alloy Films for Cu Interconnect Liner Applications. J. Vac. Sci. Technol. 2008, 26, 974–979. [Google Scholar] [CrossRef]
  121. McCarty, W.J.; Yang, X.; Anderson, L.J.D.; Jones, R.A. Chemical Vapour Deposition of Amorphous Ru (P) Thin Films from Ru Trialkylphosphite Hydride Complexes. Dalton 2012, 41, 13496–13503. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  122. Bost, D.E.; Kim, H.W.; Chou, C.Y.; Hwang, G.S.; Ekerdt, J.G. First-Principles Predictions of Ruthenium-Phosphorus and Ruthenium-Boron Glassy Structures and Chemical Vapor Deposition of Thin Amorphous Ruthenium-Boron Alloy Films. Thin Solid Films 2017, 622, 56–64. [Google Scholar] [CrossRef] [Green Version]
  123. Perng, D.C.; Yeh, J.B.; Hsu, K.C.; Wang, Y.C. 5 nm Amorphous Boron and Carbon Added Ru Film as a Highly Reliable Cu Diffusion Barrier. Electrochem. Solid-State Lett. 2010, 13, H290–H293. [Google Scholar] [CrossRef]
  124. Perng, D.C.; Hsu, K.C.; Tsai, S.W.; Yeh, J.B. Thermal and Electrical Properties of PVD Ru (P) Film as Cu Diffusion Barrier. Microelectron. Eng. 2010, 87, 365–369. [Google Scholar] [CrossRef]
  125. Chen, C.W.; Chen, J.S.; Jeng, J.S. Effectiveness of Ta Addition on the Performance of Ru Diffusion Barrier in Cu Metallization. J. Electrochem. Soc. 2008, 155, H1003–H1008. [Google Scholar] [CrossRef]
  126. Yeh, J.B.; Perng, D.C.; Hsu, K.C. Amorphous RuW Film as a Diffusion Barrier for Advanced Cu Metallization. J. Electrochem. Soc. 2010, 157, H810–H814. [Google Scholar] [CrossRef]
  127. Hsu, K.C.; Perng, D.C.; Yeh, J.B.; Wang, Y.C. Ultrathin Cr Added Ru Film as a Seedless Cu Diffusion Barrier for Advanced Cu Interconnects. Appl. Surf. Sci. 2012, 258, 7225–7230. [Google Scholar] [CrossRef]
  128. Sari, W.; Eom, T.K.; Jeon, C.W.; Sohn, H.; Kim, S.H. Improvement of the Diffusion Barrier Performance of Ru by Incorporating a WNx Thin Film for Direct-Plateable Cu Interconnects. Electrochem. Solid State Lett. 2009, 12, H248–H251. [Google Scholar] [CrossRef]
  129. Kim, S.W.; Kwon, S.H.; Jeong, S.J.; Kang, S.W. Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD. J. Electrochem. Soc. 2008, 155, H885–H888. [Google Scholar] [CrossRef]
  130. Kim, S.H.; Kim, H.T.; Yim, S.S.; Lee, D.J.; Kim, K.S.; Kim, H.M.; Kim, K.B.; Sohn, H. A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu. J. Electrochem. Soc. 2008, 155, H589–H594. [Google Scholar] [CrossRef]
  131. Burke, L.D.; Naser, N.S.; Sharna, R. The Oxide Electrochemistry of Ruthenium and Its Relevance to Trench Liner Applications in Damascene Copper Plating. J. Appl. Electrochem. 2008, 38, 377–384. [Google Scholar] [CrossRef]
  132. Novoselov, K.S.; Geim, A.K.; Morozov, S.; Jiang, D.; Zhang, Y.; Dubonos, S.A.; Grigorieva, I.; Firsov, A. Electric Field Effect in Atomically Thin Carbon Films. Science 2004, 306, 666–669. [Google Scholar] [CrossRef] [Green Version]
  133. Lee, C.; Wei, X.; Kysar, J.W.; Hone, J. Measurement of the Elastic Properties and Intrinsic Strength of Monolayer Graphene. Science 2008, 321, 385–388. [Google Scholar] [CrossRef]
  134. Balandin, A.A.; Ghosh, S.; Bao, W.; Calizo, I.; Teweldebrhan, D.; Miao, F.; Lau, C.N. Superior Thermal Conductivity of Single-Layer Graphene. Nano Lett. 2008, 8, 902–907. [Google Scholar] [CrossRef]
  135. Zhang, Y.; Tan, Y.W.; Stormer, H.L.; Kim, P. Experimental Observation of the Quantum Hall Effect and Berry’s Phase in Graphene. Nature 2005, 438, 201–204. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  136. Chen, S.; Brown, L.; Levendorf, M.; Cai, W.; Ju, S.Y.; Edgeworth, J.; Li, X.S.; Magnuson, C.; Velamaknni, A.; Piner, R.R.; et al. Oxidation Resistance of Graphene-Coated Cu and Cu/Ni Alloy. ACS Nano 2011, 5, 1321–1327. [Google Scholar] [CrossRef] [Green Version]
  137. Mehta, R.; Chugh, S.; Chen, Z. Transfer-Free Multi-Layer Graphene as a Diffusion Barrier. Nanoscale 2017, 9, 1827–1833. [Google Scholar] [CrossRef]
  138. Bong, J.H.; Yoon, S.J.; Yoon, A.; Hwang, W.S.; Cho, B.J. Ultrathin Graphene and Graphene Oxide Layers as a Diffusion Barrier for Advanced Cu Metallization. Appl. Phys. Lett. 2015, 106, 063112. [Google Scholar] [CrossRef] [Green Version]
  139. Roy, S.S.; Arnold, M.S. Improving Graphene Diffusion Barriers via Stacking Multiple Layers and Grain Size Engineering. Adv. Funct. Mater. 2013, 23, 3638–3644. [Google Scholar] [CrossRef]
  140. Nguyen, B.S.; Lin, J.F.; Perng, D.C. 1-nm-Thick Graphene Tri-Layer as the Ultimate Copper Diffusion Barrier. Appl. Phys. Lett. 2014, 104, 082105. [Google Scholar] [CrossRef]
  141. Hong, J.; Lee, S.; Lee, S.; Han, H.; Mahata, C.; Yeon, H.W.; Koo, B.; Kim, S.I.; Nam, T.; Min, B.W.; et al. Graphene as an Atomically Thin Barrier to Cu Diffusion into Si. Nanoscale 2014, 6, 7503–7511. [Google Scholar] [CrossRef] [PubMed]
  142. Liu, Z.; Gong, Y.; Zhou, W.; Ma, L.; Yu, J.; Idrobo, J.C.; Jung, J.; MacDonald, A.H.; Vajtai1, R.; Lou, J.; et al. Ultrathin High-Temperature Oxidation-Resistant Coatings of Hexagonal Boron Nitride. Nat. Commun. 2013, 4, 1–8. [Google Scholar] [CrossRef]
  143. Shen, L.; Zhao, Y.; Wang, Y.; Song, R.; Yao, Q.; Chen, S.; Chai, Y. A Long-Term Corrosion Barrier with an Insulating Boron Nitride Monolayer. J. Mater. Chem. 2016, 4, 5044–5050. [Google Scholar] [CrossRef] [Green Version]
  144. Ren, S.; Cui, M.; Pu, J.; Xue, Q.; Wang, L. Multilayer Regulation of Atomic Boron Nitride Films to Improve Oxidation and Corrosion Resistance of Cu. ACS Appl. Mater. Interfaces 2017, 9, 27152–27165. [Google Scholar] [CrossRef] [PubMed]
  145. Lo, C.L.; Catalano, M.; Smithe, K.K.; Wang, L.; Zhang, S.; Pop, E.; Kim, M.J.; Chen, Z. Studies of Two-Dimensional h-BN and MoS2 for Potential Diffusion Barrier Application in Copper Interconnect Technology. NPJ 2D Mater. Appl. 2017, 1, 1–7. [Google Scholar] [CrossRef] [Green Version]
  146. Mertens, S.F.L. Copper Underpotential Deposition on Boron Nitride Nanomesh. Electrochim. Acta 2017, 246, 730–736. [Google Scholar] [CrossRef] [Green Version]
  147. Smithe, K.K.; Zhu, Z.; Bailey, C.S.; Pop, E.; Yoon, A. Investigation of Monolayer MX2 as Sub-Nanometer Copper Diffusion Barriers. Int. Reliab. Phys. Symp. 2018. [Google Scholar] [CrossRef]
  148. Lo, C.L.; Zhang, K.; Smith, R.S.; Shah, K.; Robinson, J.A.; Chen, Z. Large-Area, Single-Layer Molybdenum Disulfide Synthesized at BEOL Compatible Temperature as Cu Diffusion Barrier. IEEE Trans. Electr. Device 2018, 39, 873–876. [Google Scholar] [CrossRef]
  149. Mikami, N.; Hata, N.; Kikkawa, T.; Machida, H. Robust Self-Assembled Monolayer as Diffusion Barrier for Copper Metallization. Appl. Phys. Lett. 2003, 83, 5181–5183. [Google Scholar] [CrossRef]
  150. Yoshino, T.; Hata, N.; Muramoto, I.; Machida, H.; Kikkawa, T. Effect of Phosphorus Atom in Self-Assembled Monolayer as a Drift Barrier for Advanced Copper Interconnects. Appl. Phys. Express 2008, 1, 065003. [Google Scholar] [CrossRef]
  151. Krishnamoorthy, A.; Chanda, K.; Murarka, S.P.; Ramanath, G.; Ryan, J.G. Self-Assembled Near-Zero-Thickness Molecular Layers as Diffusion Barriers for Cu Metallization. Appl. Phys. Lett. 2001, 78, 2467–2469. [Google Scholar] [CrossRef]
  152. Ganesan, P.G.; Singh, A.P.; Ramanath, G. Diffusion Barrier Properties of Carboxyl-and Amine-Terminated Molecular Nanolayers. Appl. Phys. Lett. 2004, 85, 579–581. [Google Scholar] [CrossRef]
  153. Caro, A.M.; Maes, G.; Borghs, G.; Whelan, C.M. Screening Self-Assembled Monolayers as Cu Diffusion Barriers. Microelectron. Eng. 2008, 85, 2047–2050. [Google Scholar] [CrossRef]
  154. Chung, Y.; Lee, S.; Mahata, C.; Seo, J.; Lim, S.M.; Jeong, M.S.; Jung, H.; Joo, Y.C.; Park, Y.B.; Kim, H.; et al. Coupled Self-Assembled Monolayer for Enhancement of Cu Diffusion Barrier and Adhesion Properties. RSC Adv. 2014, 4, 60123–60130. [Google Scholar] [CrossRef]
  155. Sharma, S.; Kumar, M.; Rani, S.; Kumar, D. Deposition and Characterization of 3-Aminopropyltrimethoxysilane Monolayer Diffusion Barrier for Copper Metallization. Metall. Mater. Trans. 2015, 46, 928–932. [Google Scholar] [CrossRef]
  156. Yeh, J.W.; Chen, S.K.; Lin, S.J.; Gan, J.Y.; Chin, T.S.; Shun, T.T.; Tsau, C.H.; Chang, S.Y. Nanostructured High-Entropy Alloys with Multiple Principal Elements: Novel Alloy Design Concepts and Outcomes. Adv. Eng. Mater. 2004, 6, 299–303. [Google Scholar] [CrossRef]
  157. Yan, X.H.; Li, J.S.; Zhang, W.R.; Zhang, Y. A Brief Review of High-Entropy Films. Mater. Chem. Phys. 2018, 210, 12–19. [Google Scholar] [CrossRef]
  158. Yu, R.S.; Huang, C.J.; Huang, R.H.; Sun, C.H.; Shieu, F.S. Structure and Optoelectronic Properties of Multi-Element Oxide Thin Film. Appl. Surf. Sci. 2011, 257, 6073–6078. [Google Scholar] [CrossRef]
  159. Huang, P.K.; Yeh, J.W. Effects of Substrate Bias on Structure and Mechanical Properties of (AlCrNbSiTiV)N Coatings. J. Phys. D Appl. Phys. 2009, 42, 115401–115407. [Google Scholar] [CrossRef]
  160. Chang, Z.C.; Liang, S.C.; Han, S.; Chen, Y.K.; Shieu, F.S. Characteristics of TiVCrAlZr Multi-Element Nitride Films Prepared by Reactive Sputtering. Nucl. Instrum. Methods Phys. Res. 2010, 268, 2504–2509. [Google Scholar] [CrossRef]
  161. Zhang, H.; Pan, Y.; He, Y.H. The Preparation of FeCoNiCrAl2Si High Entropy Alloy Coating by Laser Cladding. J. Metals Chin. 2011, 8, 1075–1079. [Google Scholar] [CrossRef]
  162. Huang, C.; Zhang, Y.; Shen, J.; Vilar, R. Thermal Stability and Oxidation Resistance of Laser Clad TiVCrAlSi High Entropy Alloy Coatings on Ti-6Al-4V Alloy. Surf. Coat. Technol. 2011, 206, 1389–1395. [Google Scholar] [CrossRef]
  163. Yao, C.Z.; Wei, F.H.; Zhang, P.; Lu, X.H.; Liu, P.; Tong, Y.X. Facile Preparation and Magnetic Study of Amorphous Tm-Fe-Co-Ni-Mn Multicomponent Alloy Nanofilm. J. Rare Earth. 2011, 29, 133–137. [Google Scholar] [CrossRef]
  164. Niu, X.L.; Wang, L.J.; Sun, D.; Dong, J.L.; Li, C.M. Research on Corrosion Resistance of Al-Fe-Co-Cr-Ni-Cu High-Entropy Alloy Coating by Electron Beam Evaporation Plating. J. Dalian Univ. Technol. 2013, 53, 689–694. [Google Scholar]
  165. Otto, F.; Yang, Y.; Bei, H.; George, E.P. Relative Effects of Enthalpy and Entropy on the Phase Stability of Equiatomic High-Entropy Alloys. Acta Mater. 2013, 61, 2628–2638. [Google Scholar] [CrossRef] [Green Version]
  166. Tasan, C.C.; Deng, Y.; Pradeep, K.G.; Yao, M.J.; Springer, H.; Raabe, D. Composition Dependence of Phase Stability, Deformation Mechanisms, and Mechanical Properties of the CoCrFeMnNi High-Entropy Alloy System. JOM-US 2014, 66, 1993–2001. [Google Scholar] [CrossRef]
  167. Beke, D.L.; Erdelyi, G. On the Diffusion in High-Entropy Alloys. Mater. Lett. 2016, 164, 111–113. [Google Scholar] [CrossRef]
  168. Kucza, W.; Dąbrowa, J.; Cieślak, G.; Berent, K.; Kulik, T.; Danielewski, M. Studies of “Sluggish Diffusion” Effect in Co-Cr-Fe-Mn-Ni, Co-Cr-Fe-Ni and Co-Fe-Mn-Ni High Entropy Alloys; Determination of Tracer Diffusivities by Combinatorial Approach. J. Alloys Compd. 2018, 731, 920–928. [Google Scholar] [CrossRef]
  169. Tsai, K.Y.; Tsaai, M.H.; Yeh, J.W. Sluggish Diffusion in Co–Cr–Fe–Mn–NiHigh-Entropy Alloys. Acta Mater. 2013, 61, 4887–4897. [Google Scholar] [CrossRef]
  170. Xu, X.D.; Liu, P.; Tang, Z.; Hirata, A.; Song, S.X.; Nieh, T.G.; Liaw, P.K.; Liu, C.T.; Chen, M.W. Transmission Electron Microscopy Characterization of Dislocation Structure in a Face-Centered Cubic High-Entropy Alloy Al0.1CoCrFeNi. Acta Mater. 2018, 144, 107–115. [Google Scholar] [CrossRef]
  171. Zhao, Y.Y.; Lei, Z.F.; Lu, Z.P.; Huang, J.C.; Nieh, T.G. A Simplified Model Connecting Lattice Distortion with Friction Stress of Nb-Based Equiatomic High-Entropy Alloys. Mater. Res. Lett. 2019, 7, 340–346. [Google Scholar] [CrossRef] [Green Version]
  172. Liu, W.H.; Lu, Z.P.; He, J.Y.; Luan, J.H.; Wang, Z.J.; Liu, B.; Liu, Y.; Chen, M.W.; Liu, C.T. Ductile CoCrFeNiMox High Entropy Alloys Strengthened by Hard Intermetallic Phases. Acta Mater. 2016, 116, 332–342. [Google Scholar] [CrossRef]
  173. Meyer, M.A.; Chawla, K.K. Mechanical Metallurgy-Principles and Applications; Prentice-Hall Inc.: Englewood Cliffs, NJ, USA, 1984; pp. 383–401. [Google Scholar]
  174. Zhou, Y.J.; Zhang, Y.; Wang, Y.L.; Chen, G.L. Solid Solution Alloys of AlCoCrFeNiTix with Excellent Room-Temperature Mechanical Properties. Appl. Phys. Lett. 2007, 90, 181904. [Google Scholar] [CrossRef]
  175. Ranganathan, S. Alloyed Pleasures: Multimetallic Cocktails. Curr. Sci. 2003, 85, 1404–1406. Available online: http://eprints.iisc.ac.in/id/eprint/6189 (accessed on 16 October 2020).
  176. Tsai, M.H.; Wang, C.W.; Tsai, C.W.; Shen, W.J.; Yeh, J.W.; Gan, J.Y.; Wu, W.W. Thermal Stability and Performance of NbSiTaTiZr High-Entropy Alloy Barrier for Copper Metallization. J. Electrochem. Soc. 2011, 158, H1161–H1165. [Google Scholar] [CrossRef]
  177. Chen, T.K.; Shun, T.T.; Yeh, J.W.; Wong, M.S. Nanostructured Nitride Films of Multi-Element High-Entropy Alloys by Reactive DC Sputtering. Surf. Coat. Technol. 2004, 188, 193–200. [Google Scholar] [CrossRef]
  178. Huang, Y.S.; Chen, L.; Lui, H.W.; Cai, M.H.; Yeh, J.W. Microstructure, Hardness, Resistivity and Thermal Stability of Sputtered Oxide Films of AlCoCrCu05NiFe High-Entropy Alloy. Mater. Sci. Eng. 2007, 457, 77–83. [Google Scholar] [CrossRef]
  179. Tsai, M.H.; Yeh, J.W.; Gan, J.Y. Diffusion Barrier Properties of AlMoNbSiTaTiVZr High-Entropy Alloy Layer between Copper and Silicon. Thin Solid Films 2008, 516, 5527–5530. [Google Scholar] [CrossRef]
  180. Tung, C.C.; Yeh, J.W.; Shun, T.T.; Chen, S.K.; Huang, Y.S.; Chen, H.C. On the Elemental Effect of AlCoCrCuFeNi High-Entropy Alloy System. Mater. Lett. 2007, 61, 1–5. [Google Scholar] [CrossRef]
  181. Tong, C.J.; Chen, M.R.; Yeh, J.W.; Lin, S.J.; Chen, S.K.; Shun, T.T.; Chang, S.Y. Mechanical Performance of the AlxCoCrCuFeNi High-Entropy Alloy System with Multiprincipal Elements. Metall. Mater. Trans. 2005, 36, 1263–1271. [Google Scholar] [CrossRef]
  182. Lin, C.H.; Duh, J.G.; Yeh, J.W. Multi-Component Nitride Coatings Derived from Ti–Al–Cr–Si–V Target in RF Magnetron Sputter. Surf. Coat. Technol. 2007, 201, 6304–6308. [Google Scholar] [CrossRef]
  183. Chen, R.; Cai, Z.; Pu, J.; Lu, Z.; Chen, S.; Zheng, S.; Zeng, C. Effects of Nitriding on the Microstructure and Properties of VAlTiCrMo High-Entropy Alloy Coatings by Sputtering Technique. J. Alloys Compd. 2020, 827, 153836. [Google Scholar] [CrossRef]
  184. Jiang, C.X.; Li, R.B.; Wang, X.; Shang, H.L.; Zhang, Y.; Liaw, P.K. Diffusion Barrier Performance of AlCrTaTiZr/ AlCrTaTiZr-N High-Entropy Alloy Films for Cu/Si Connect System. Entropy 2020, 22, 234. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Schematic demonstration of the Cu cycle.
Figure 1. Schematic demonstration of the Cu cycle.
Materials 13 05049 g001
Figure 2. Schematic illustration of the “over-hang” formed by electroless deposition of Cu seed layer, leading to the voids formed by the subsequent electrochemical Cu deposition during a damascene process. (a) The formation of Cu overhanging clusters via electroless deposition; (b) growth of Cu overhanging clusters during electrochemical Cu deposition; (c) failure of Cu super-filling of damascene feature. Reproduced from Hong et al. [79]. Copyright 2005 Elsevier Ltd. All rights reserved.
Figure 2. Schematic illustration of the “over-hang” formed by electroless deposition of Cu seed layer, leading to the voids formed by the subsequent electrochemical Cu deposition during a damascene process. (a) The formation of Cu overhanging clusters via electroless deposition; (b) growth of Cu overhanging clusters during electrochemical Cu deposition; (c) failure of Cu super-filling of damascene feature. Reproduced from Hong et al. [79]. Copyright 2005 Elsevier Ltd. All rights reserved.
Materials 13 05049 g002
Figure 3. Schematic description of the barrier failure mechanism in Cu/Ru/Si system. (a) Intact Ru barrier at the initial stage, (b) barrier failure induced by the formation of ruthenium silicide, (c) complete dissolution of metallic Ru to form ruthenium silicide, and (d) Cu diffusion through ruthenium silicide to form copper silicide.
Figure 3. Schematic description of the barrier failure mechanism in Cu/Ru/Si system. (a) Intact Ru barrier at the initial stage, (b) barrier failure induced by the formation of ruthenium silicide, (c) complete dissolution of metallic Ru to form ruthenium silicide, and (d) Cu diffusion through ruthenium silicide to form copper silicide.
Materials 13 05049 g003
Figure 4. Cross-sectional transmission electron microscopy (TEM) micrographs of (a) as-deposited Cu/Ru/SiO2 and (b) Cu/Ru-N/SiO2 samples. X-ray diffraction (XRD) spectra of (c) Cu/Ru/Si and (d) Cu/Ru-N/Si at different annealing temperatures. Adapted from Damayanti et al. [117]. Copyright 2006 American Institute of Physics.
Figure 4. Cross-sectional transmission electron microscopy (TEM) micrographs of (a) as-deposited Cu/Ru/SiO2 and (b) Cu/Ru-N/SiO2 samples. X-ray diffraction (XRD) spectra of (c) Cu/Ru/Si and (d) Cu/Ru-N/Si at different annealing temperatures. Adapted from Damayanti et al. [117]. Copyright 2006 American Institute of Physics.
Materials 13 05049 g004
Figure 5. Scanning electron microscope (SEM) images comparing bare Cu, SLGx1_Cu (samples covered with layer of graphene), SLGx2_Cu, and SLGx4_Cu before (ad, respectively) and after (eh, respectively) 240 min of annealing in air at 200 °C. Scale bars = 10 μ m. Insets in (a) and (e) depict SEM images of wider areas. Scale bars = 1 μm. Reproduced from Roy et al. [139]. Copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim.
Figure 5. Scanning electron microscope (SEM) images comparing bare Cu, SLGx1_Cu (samples covered with layer of graphene), SLGx2_Cu, and SLGx4_Cu before (ad, respectively) and after (eh, respectively) 240 min of annealing in air at 200 °C. Scale bars = 10 μ m. Insets in (a) and (e) depict SEM images of wider areas. Scale bars = 1 μm. Reproduced from Roy et al. [139]. Copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim.
Materials 13 05049 g005
Figure 6. Cross-sectional TEM images of a tri-layer graphene film (a) before and (b) after 30 min of annealing at 700 °C. A distinct boundary between Cu and graphene can be seen even after (b) 5 min of annealing at 800 °C. Inset at top left in panel (b) indicates the formation of copper silicide and a cross-sectional image of the undegraded graphene layer is displayed at the bottom left. Reproduced from Nguyen et al. [140]. Copyright 2014 AIP Publishing LLC.
Figure 6. Cross-sectional TEM images of a tri-layer graphene film (a) before and (b) after 30 min of annealing at 700 °C. A distinct boundary between Cu and graphene can be seen even after (b) 5 min of annealing at 800 °C. Inset at top left in panel (b) indicates the formation of copper silicide and a cross-sectional image of the undegraded graphene layer is displayed at the bottom left. Reproduced from Nguyen et al. [140]. Copyright 2014 AIP Publishing LLC.
Materials 13 05049 g006
Figure 7. A schematic drawing of NH2-SAM (self-assembled molecular layer) barrier layer located in between Cu and SiO2. Reproduced from Caro et al. [106]. Copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim.
Figure 7. A schematic drawing of NH2-SAM (self-assembled molecular layer) barrier layer located in between Cu and SiO2. Reproduced from Caro et al. [106]. Copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim.
Materials 13 05049 g007
Figure 8. SEM micrographs of surface and cross-section morphologies of (a) VAlTiCrMo, (b) (VAlTiCrMo)Nx-100, (c) (VAlTiCrMo)Nx-450 and (d) (VAlTiCrMo)Nx-800 coatings, (e) the energy-dispersive spectrometry scanning of element distribution along the thickness direction of the (VAlTiCrMo)Nx-800 coating. Reproduced from Chen et al. [183]. Copyright 2020 Elsevier B.V. All rights reserved.
Figure 8. SEM micrographs of surface and cross-section morphologies of (a) VAlTiCrMo, (b) (VAlTiCrMo)Nx-100, (c) (VAlTiCrMo)Nx-450 and (d) (VAlTiCrMo)Nx-800 coatings, (e) the energy-dispersive spectrometry scanning of element distribution along the thickness direction of the (VAlTiCrMo)Nx-800 coating. Reproduced from Chen et al. [183]. Copyright 2020 Elsevier B.V. All rights reserved.
Materials 13 05049 g008
Table 1. Brief comparison of properties, fabrication methods and expected thickness of barriers.
Table 1. Brief comparison of properties, fabrication methods and expected thickness of barriers.
BarriersResistivity (μΩ·cm)Melting Point (°C)Deposition MethodExpected Thickness
Ta/TaNTa > 13Ta ~ 2996PVD or CVDA few nm
PGMsRu ~ 7
Ir ~ 4.7
Ru ~ 2334
Ir ~ 2454
PVD, CVD, ALD, ED, electroless deposition Few nm
2D materialsGraphene ~ 1Graphene ~ 3652CVD~1 nm
SAMs//Solution immersionMonolayer
HEAsPoorNormally > 1000Magnetron sputtering, laser cladding, ED, electron beam evaporationFew nm
Table 2. Microstructure, lattice constants and hardness. Reproduced from Tung et al. [180]. Copyright 2006 Elsevier B.V. All rights reserved.
Table 2. Microstructure, lattice constants and hardness. Reproduced from Tung et al. [180]. Copyright 2006 Elsevier B.V. All rights reserved.
AlloysMicrostructureFCC Lattice Constants (Å)BCC Lattice Constants (Å)Hardness (HV)
AlCoCrCuFeNiFCC+BCC3.602.87420
Al0.5CoCrCuFeNiFCC3.59-208
AlCo0.5CrCuFeNiFCC+BCC3.622.87473
AlCoCr0.5CuFeNiFCC+BCC3.612.87367
AlCoCrCu0.5FeNiBCC-2.87458
AlCoCrCuFe0.5NiFCC+BCC3.612.87418
AlCoCrCuFeNi0.5FCC+BCC3.632.87423
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Li, Z.; Tian, Y.; Teng, C.; Cao, H. Recent Advances in Barrier Layer of Cu Interconnects. Materials 2020, 13, 5049. https://doi.org/10.3390/ma13215049

AMA Style

Li Z, Tian Y, Teng C, Cao H. Recent Advances in Barrier Layer of Cu Interconnects. Materials. 2020; 13(21):5049. https://doi.org/10.3390/ma13215049

Chicago/Turabian Style

Li, Zhi, Ye Tian, Chao Teng, and Hai Cao. 2020. "Recent Advances in Barrier Layer of Cu Interconnects" Materials 13, no. 21: 5049. https://doi.org/10.3390/ma13215049

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop