Next Article in Journal
Cytotoxic and Genotoxic Effects of Composite Resins on Cultured Human Gingival Fibroblasts
Next Article in Special Issue
An Overview of AI-Assisted Design-on-Simulation Technology for Reliability Life Prediction of Advanced Packaging
Previous Article in Journal
Adaptive Finite Element Model for Simulating Crack Growth in the Presence of Holes
Previous Article in Special Issue
Solder Joint Reliability Risk Estimation by AI-Assisted Simulation Framework with Genetic Algorithm to Optimize the Initial Parameters for AI Models
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Stress Impact of the Annealing Procedure of Cu-Filled TSV Packaging on the Performance of Nano-Scaled MOSFETs Evaluated by an Analytical Solution and FEA-Based Submodeling Technique

Department of Power Mechanical Engineering, National Tsing Hua University, No. 101, Section 2, Kuang-Fu Road, Hsinchu 30013, Taiwan
*
Author to whom correspondence should be addressed.
Materials 2021, 14(18), 5226; https://doi.org/10.3390/ma14185226
Submission received: 29 June 2021 / Revised: 1 September 2021 / Accepted: 8 September 2021 / Published: 11 September 2021
(This article belongs to the Special Issue Simulation and Reliability Assessment of Advanced Packaging)

Abstract

:
Stress-induced performance change in electron packaging architecture is a major concern when the keep-out zone (KOZ) and corresponding integration density of interconnect systems and transistor devices are considered. In this study, a finite element analysis (FEA)-based submodeling approach is demonstrated to analyze the stress-affected zone of through-silicon via (TSV) and its influences on a planar metal oxide semiconductor field transistor (MOSFET) device. The feasibility of the widely adopted analytical solution for TSV stress-affected zone estimation, Lamé radial stress solution, is investigated and compared with the FEA-based submodeling approach. Analytic results reveal that the Lamé stress solution overestimates the TSV-induced stress in the concerned device by over 50%, and the difference in the estimated results of device performance between Lamé stress solution and FEA simulation can reach 22%. Moreover, a silicon–germanium-based lattice mismatch stressor is designed in a silicon p-type MOSFET, and its effects are analyzed and compared with those of TSV residual stress. The S/D stressor dominates the stress status of the device channel. The demonstrated FEA-based submodeling approach is effective in analyzing the stress impact from packaging and device-level components and estimating the KOZ issue in advanced electronic packaging.

1. Introduction

Moore’s law has been adopted for half a century, and it is still regarded as the target of transistor device performance. Silicon (Si) is the mainstream material for current semiconductor technology because of its low cost, mature fabrication process and acceptable performance. In the past decade, several advanced materials have been studied and used to replace Si as the new mainstream material in the semiconductor industry. Among the promising materials, germanium (Ge) and groups III–V are the most feasible due to their superior initial carrier transmission capability [1,2]. Strain engineering has been proposed to further enhance device performance under the same technology node through the lattice-mismatch mechanism. The four-point-bending technique is commonly utilized to extract the piezoresistance behaviors of device materials and estimate the stress-induced performance variation quantitatively [3,4,5]. Notably, the measured piezoresistance on bulk wafer and wafer with actual devices can differ considerably depending on the device type [3,5]. The stress sensitivity of different semiconductor materials has also been studied [4]. In electronic packaging architecture, the interconnect system plays an important role in signal transmission and delay time; notably, the overall delay time of an electronic packaging is determined by device and interconnect scaling [6]. Hence, the stability of the interconnect system is also an issue of electronic packaging. Through-silicon via (TSV) is the main interconnect architecture in 3D integrated circuit packaging, and the current mainstream TSV is fabricated with electroplated copper (Cu) [6,7,8,9,10,11]. Protrusion and thermal stress are the major mechanical reliability issues in TSV. The protrusion and thermal stress of TSV generally depend on the fabrication and annealing procedure and can generate cohesive and interfacial cracking on TSV [12,13,14,15,16]. Raman spectroscopy is widely used to estimate experimentally the residual stress of Cu TSV and the stress impact on the surrounding wafer [17,18,19]. In the fabrication procedure of Cu TSV, the annealing process is a critical step to manage the material characteristics, residual stress and Cu pumping. Cu annealing promotes interdiffusion, grain growth and re-crystallization to accomplish the abovementioned goals in thermomechanical reliability management. The TSV procedure is of three types, namely, TSV-first, TSV-middle and TSV-last, and the fabrication step of the via depends on the front-end (FE) and back-end (BE) processes. In the TSV-first procedure, the TSV is etched and filled, followed by FE and BE processes and wafer thinning. In comparison, TSV etching and filling are performed between the FE and BE in the TSV-middle procedure, and the TSV-last procedure means the FE/BE processes and wafer thinning are performed before TSV fabrication. The annealing effects on Cu’s characteristics, including microstructure, elastic modulus and hardness, have been explored [20]. The critical temperature of zero stress impact transferred from Cu TSV to the surrounding Si wafer has been studied through simulation and experimental measurement [21,22,23]. For the diameter-dependent stress status of narrow Cu TSV, whose diameter is below 8 μm, the measured mean hydrostatic stress ranges from 150MPa to 200 MPa [24]. In a previous study, residual tensile stress values of 234 and 167 MPa before and after 200 °C of annealing, respectively, were separately extracted through synchrotron X-ray microdiffraction, and the mechanism of residual stress relaxation was generated by the lattice reorganization behavior [25]. Another study revealed that residual stress can be increased to around 600–700 MPa after 420 °C of annealing and cooling down to room temperature [26]. A transient selective annealing technology was presented in another work, and its influence on the thermomechanical reliability of Cu TSV was analyzed [27]. The layout design dependence of the thermomechanical behavior of TSV has also been investigated [28,29,30]. The concept of keep out zone (KOZ) has been proposed to obstruct the stress influence of TSV on the surrounding wafer and improve the transistor performance, and many structural and material designs have been studied since then. A novel structural design of TSV called annular-trench-isolated TSV was designed in previous research to reduce the volume of filled Cu and decrease the corresponding coefficient of thermal expansion (CTE, α) mismatch between Cu TSV (α =16.7 ppm/K) and Si (α =2.3 ppm/K) wafer [31,32]. In the TSV architecture, the barrier is adopted to prevent Cu diffusion into the surrounding wafer, and several barriers can function as stress buffer layers at the same time [33,34,35,36,37,38,39]. Different barrier materials with various deposition pressures and rates have been investigated [38]. The advantages of using metal-based barriers in Cu TSV protrusion and thermal stress have been studied [39]. The results have shown that a barrier with high modulus and similar CTE as that of Cu can significantly reduce the protrusion of TSV, but high stresses transfer from TSV to the surrounding Si. Several analytical formulas have been utilized to investigate the stress impact of the TSV core and adjacent region, and their results have been compared with experimental and simulation results [40,41,42,43]. However, previous studies on KOZ estimation generally considered the actual transistor as a simple bulk Si. This means the layout design of the nano-scaled device was not considered, and the stress transfer efficiency from the TSV core to the device might have been overestimated or underestimated. A finite element analysis (FEA)-based submodeling technique is developed in this study, and its results are compared with analytical results. Moreover, device strain engineering is considered in the constructed FEA model for investigating the comprehensive performance change of the device under lattice strain and TSV residual stress.

2. Fundamental Theories of 2D Analytical Stress Solution, Lattice Stress Estimation Approach and Piezoresistance Behavior for Stress-Induced Performance Investigation

2.1. 2D Analytical Solution for Stress Estimation of TSV and the Surrounding Substrate

The Lamé analytical stress model is widely adopted to estimate the influence of TSV-induced stress and the corresponding KOZ. The model assumes an infinite TSV surrounded by an infinite interposer when investigating the stress magnitude in the interposer under the impact of temperature change in the entire TSV interposer. A schematic of the Lamé radial stress solution is illustrated in Figure 1. Under the plane strain assumption, the entire structure is integrated with the core and surrounding material on the basis of the superposition principle. Assuming that the core is in a triaxial and uniform stress field, this stress field can be further separated into two stress components, namely, longitudinal stress (σL) along the out-of-plane axis and transverse stress (σT) for any two perpendicular axes. Through a derivation based on elasticity theory, the Lamé radial stress solution for the TSV stress-affected zone can be expressed as follows [40]:
σ r = E C u ( α C u α S i ) Δ T 1 2 ν C u + 1 + ν S i 1 + ν C u E C u E S i ( D T S V 2 r ) 2 ,
σ r = σ x x , σ θ = σ y y , σ r = σ θ ,
where σr and σθ denote radial and circumferential stresses, respectively. Figure 2 shows a detailed diagram of how TSV-induced stress influences the concerned device location. Labels DTSV and r, respectively, denote the diameter of TSV and the distance between the TSV origin and the concerned device location for KOZ estimation. E, α and ν pertain to Young’s modulus, CTE and Poisson’s ratio, respectively. ΔT is the temperature variation resulting from the fabrication and treatment process, and the ΔT considered in this study is generated from the annealing procedure.
These theoretical stresses are calculated based on analytical formulas integrated with structural and material parameters. On the basis of the foregoing equations, a semi-empirical formula with a similar form is presented for TSV stress-affected zone estimation. The semi-empirical formula is written as follows [19]:
σ S i , x x = σ T S V ( cos 2 θ sin 2 θ ) ( D T S V 2 r ) 2 ,
σ S i , y y = σ T S V ( sin 2 θ cos 2 θ ) ( D T S V 2 r ) 2 .
The advantage of this form is that the experimentally measured residual stress of TSV can be interpolated directly. The sign θ is the angle between the x-axis and r.
Many assumptions are made in the aforementioned model and cause a significant difference from the real TSV interposer architecture. First, the analytical model only considers the filler material of TSV and surrounding interposer and does not include the components adjacent to the core of TSV. Second, the analytical model does not consider the relative orientation between the concerned device channel and TSV core. These simplifications influence the efficiency of stress transfer from the TSV core to the surrounding components from a mechanical perspective and make the accuracy of the estimated performance variation in excepted transistor location controversial. Accordingly, this research utilizes the FEA-based submodeling simulation approach to explore the stress impact of the TSV core on the surrounding interposer and compares this approach with the classic Lamé radial stress solution.

2.2. Theotical Calculation of Lattice Mismatch Strain on the Absis of Vegard’s Law

Lattice mismatch strain simulation is performed in the present study to estimate the efficiency of lattice strain in device-level design and further compare it with the TSV stress-induced KOZ effect from the packaging-level structure. Lattice strain generation concentrates one element into another one to introduce volume expansion/shrinkage and corresponding stress; this phenomenon is approximated as the thermal stress mechanism. Accordingly, the virtual thermal strain approach has been proposed and validated, and it can be utilized to simulate lattice mismatch strain [44]. Its accuracy and feasibility have been validated through a comparison with literature data and analytically derived stress/strain formulas [45,46]. The lattice constant of concerned materials is calculated to estimate the subsequent lattice mismatch strain. Consequently, the formula for lattice parameter estimation of the concentrated component is computed in accordance with Vegard’s law as follows:
a A 1 x B x = a A × ( 1 x ) + a B × ( x ) ,
where aA and aB refer to the lattice constant of the materials in pure form and a A 1 x B x is the lattice constant of the combined components mixed by pure materials A and B. The sign x denotes the mole fraction of concentrated material B. Thus, the lattice mismatch strain amount, defined as parameter f, can be estimated as follows:
f = a A a A 1 x B x a A 1 x B x .
From the abovementioned equation, the lattice mismatch strain generated from the designed lattice mismatch stressor is estimated and can be used to investigate the influence on device performance through the utilization of piezoresistance behavior.

2.3. Piezoresistance Characteristics of Semiconductor Material for Estimating Stress-Induced Carrier Mobility Variation

Piezoresistivity is a material characteristic in terms of stress and electric resistance. From the electric performance view, the increment in performance is proportional to the decrease in electric resistance. Accordingly, the approximation effect of stresses on device performance can be estimated through the integration of stresses in the device channel region and piezoresistance parameters. The detailed formula is expressed as follows:
Δ μ μ 0 = Δ ρ ρ 0 = ( σ x x π x x + σ y y π y y ) ,
where Δμ and μ0, respectively, denote the stressed and initial mobilities of the device channel. Parameters π and σ are the piezoresistivity coefficient along the concerned direction of the device channel. With reference to the piezoresistance of the Si transistor, the piezoresistance values in longitudinal (x-direction) and transverse (y-direction) directions are significantly larger than that in the vertical (z-direction) direction. Two major stress components (σxx and σyy) of the device channel are extracted to investigate the stress-induced carrier mobility variation in Si pMOSFET in this study. The piezoresistance coefficients of Si pMOSFET for mobility change calculation are obtained from Literature [5].

3. FEA Modeling of Global TSV Interposer Packaging Architecture and Local Transistor De-Vice Model Based on the Submodeling Technique

Generally, the submodeling technique is a modeling approach to overcome the difficulty in the modeling and meshing of an FEA model with a significant size difference between concerned components. In this study, the approach is utilized to consider the stress influence and transfer efficiency of a micro-scaled TSV interposer and a nano-scaled transistor device in the same model. The micro-scaled TSV interposer is defined as the global model in the present submodeling procedure and illustrated in Figure 3. A single TSV unit in the entire symmetric array-type TSV interposer is extracted and constructed as an FEA model. The TSV unit model is composed of Cu-filled TSV, adjacent titanium nitride barrier layer and surrounding silicon dioxide (SiO2) dielectric layer as shallow trench isolation (STI). The designed thickness of STI and the barrier layer are 0.16 μm and 40 nm, respectively. Notably, the features of STI prevent electronic signal leakage, and the barrier layer prevents the diffusion of the TSV core material from contaminating the surrounding Si interposer. In this study, the distance between the origin of the TSV core and the concerned device location is fixed at 20 μm (labeled as symbol r in Figure 3); this value is also referred to as the half of TSV pitch, and the TSV depth is fixed at 50 μm. Several TSV diameters, namely, 5, 10, 15 and 30 μm, are designed to estimate the stress influences on the transistor device by using the analytical Lamé radial stress solution and FEA-based submodeling simulation approach.
In the global TSV interposer model, the characteristics of the device region (labeled as the local model in Figure 3) is considered similar to the material of the device channel, Si, in this study. According to the procedure of the submodeling technique, a detailed transistor device local model also needs to be constructed, and the corresponding transistor model is shown in Figure 4. A half-symmetry FEA model of the device is constructed, but only a quarter model is illustrated in Figure 4 to introduce the structural parameters and structure components clearly. The components of the gate stacking structure of the device include the gate, liner, spacer and gate oxide, and the corresponding materials of the components considered in this study are poly-Si, SiO2, silicon nitride and SiO2, respectively. The thickness of the spacer, liner and gate oxide are 20, 2 and 1.5 nm, respectively. The heights of the gate and spacer are 70 nm, and the length of the gate is in accordance with the technology node of the 28 nm transistor device. The concerned device is fabricated on the (001)[110] lattice orientation of Si wafer.
A general design for lattice strain generation incorporates the favorable element into the base material. In the Si pMOSFET architecture, Ge is adopted in Si and forms the silicon–germanium (Si1−xGex) concentrated alloy in the source/drain (S/D) region, which is a widely used and effective approach to introduce the preferred stress status of Si pMOSFET. The concentration of Ge in the Si1−xGex stressor in this study is fixed at 25%, which is the most common design for Si pMOSFET. The S/D’s length and thickness are fixed at 300 and 60 nm, respectively. As the functional isolation, STI length and thickness are fixed at 700 and 160 nm, respectively. Hence, the constructed local model can be regarded as a single unit in a device array layout. The gate width of the device channel is the major design parameter in analyzing the gate width dependence on stress influence from TSV residual stress and the relationship of lattice mismatch stress with performance variation. After constructing the global TSV interposer model and local transistor device model, the procedure of submodeling for linking the mechanical response between the TSV interposer and transistor device is illustrated in Figure 5 and described in detail as follows. All surfaces, expect for the top surface of the TSV interposer model, is regarded as symmetric planes for boundary condition consideration.
The annealing process-induced stress field of the TSV interposer should be generated in the FEA model by incorporating the considered residual stress (RS) magnitudes, 167 and 700 MPa, which, respectively, refer to the RS of Cu-filled TSV after 200 °C [25] and 420 °C [26] of annealing. Then, the displacement field around the device region of the global TSV interposer model is extracted and further interpolated into the local device model. Afterward, the TSV’s RS impact is introduced to the concerned Si pMOSFET and can be integrated with the S/D lattice strain stressor comprehensively. The mechanical characteristics of all materials and the parameters for annealing stress calculation for analytical solution and FEA simulation are summarized in Table 1.

4. Results and Discussions

4.1. Comparison of Analytical and FEA Submodeling Results on the Longitudinal and Trasnverse Stress of the Si pMOSFET Device Channel

The feasibility of the analytical/semi-empirical stress estimation formula and its difference from the FEA submodeling results are explored. From the viewpoint of the piezoresistance of Si pMOSFET, the stress sensitivity in the vertical direction of the device channel is at least 13 times lower than those in the longitudinal and transverse directions [10]. Moreover, because the 2D Lamé stress model cannot generate the analytic results of vertical directional stress, the two other major stress components (longitudinal and transverse stresses) are estimated and discussed using the aforementioned approaches. The TSV diameter-dependent channel stress is calculated and illustrated in Figure 6. Considering the 420 °C annealing process’ impact on Cu-filled TSV with 30 μm diameter, the stress-free temperature is defined as the designed annealing temperature and subsequently cooled down to room temperature of 200 °C. The parameters ΔT = −400 °°C and RS = 700 MPa are, respectively, interpolated into Equations (1) and (3). Thus, the estimated longitudinal stress introduced into the device channel provided by Equations (1) and (3) is 353.95 and 393.75 MPa, respectively. The two analytic results show similar magnitudes under the same temperature loading condition. The calculated stress magnitudes reveal that the stress estimation feasibility of Equations (1) and (3) is highly comparable, and a 10% difference exists between the pure analytic result [from Equation (1)] and semi-empirical formula result [from Equation (3)]. Moreover, the calculated results from Equation (1) are lower than the results from Equation (3) for all designed TSV diameters, but the variation for the narrow TSV whose diameter is less than 15 μm is small.
When the 200 °C annealing process-induced stress impact (ΔT = −180 °C and RS = 167 MPa) generated by the 30 μm Cu-TSV on the Si device is considered, the estimated longitudinal stress in the device channel based on Equations (1) and (3) is calculated as 159.28 and 93.94 MPa, respectively. An opposite trend is observed compared with the situation that considers the 420 °C annealing stress impact. This phenomenon can be attributed to the pure analytic formula, which relies on the assumption that Cu-TSV is ideally stress-free under the considered annealing temperature. However, extant literature indicates that RS is not effectively relaxed by only the 200 °C annealing procedure, and −196 MPa (the minus mark refers to the compressed stress status) is measured at 200 °C [25]. Meanwhile, almost zero stress at 420 °C was experimentally obtained in another study [26]. Accordingly, the pure analytic and semi-empirical formulas show reasonable consistency when a high annealing temperature of over 400 °C is considered. However, when a relatively low-temperature (below 400 °C) annealing process is designed, the semi-empirical formula is more suitable for estimating the impact of RS on transistor device performance compared with the pure analytic formula.
The FEA submodeling results are also presented in Figure 6 to compare the estimated stress magnitudes with the analytic stress results. In consideration of packaging-level and device-level layout design, TSV diameter and channel gate width, the FEA submodeling stress results are much lower than the stress magnitudes calculated from Equations (1) and (3). When the 30 μm TSV diameter and 70 nm gate width are designed, 225.77 MPa of longitudinal stress is introduced into the Si pMOSFET channel. This result means that the analytical and semi-empirical formulas overestimated 56% of the longitudinal channel stress compared with the FEA submodeling simulation result. This mechanism can be explained by the stress buffer behavior of the barrier and STI structure between the TSV core and Si pMOSFET. Moreover, the layout design of Si pMOSFET plays a role in TSV stress transfer efficiency. When the channel gate width increases from 70 nm to 700 nm, the introduced longitudinal channel stresses decrease from 225.77 MPa to 186.83 MPa. These results indicate that the increased gate width leads to an increment in the structural stiffness of Si pMOSFET and further obstructs the RS influence from the TSV introduced into the device channel. Thus, the effects of TSV diameter and device gate width on longitudinal channel stress are systemically discussed.
The dependence of transverse channel stress on TSV diameter and channel gate width is illustrated in Figure 7. The same stress magnitude of transverse-direction channel stress but different stress status from tensile to compressive are calculated by the analytical and semi-empirical formulas. However, the channel gate width shows a positive influence on the increment in compressive transverse channel stress. The transverse channel stress is enhanced from −90.63 MPa to −163.52 MPa when the channel gate width increases from 70 nm to 700 nm. These results reveal that the enlarged gate width is beneficial to the stress obstruction in the longitudinal direction, but it aggravates the compression in the transverse direction because of its slim geometry with a large aspect ratio. The distance-to-radius ratio is also an important parameter to investigate annealing-induced thermal stress from TSV. In this study, the TSV pitch is fixed at 40 μm, which means the foregoing ratio is managed by the variation of TSV diameter. The distance-to-radius ratios of the four designed TSV diameters are calculated as 7, 3, 1.66 and 0.33, which correspond to 5, 10, 15 and 30 μm diameters, respectively. These ratios are attributed to the estimation of the critical criteria, which prevent the harsh thermal stress impact of TSV on the concerned device. For longitudinal and transverse channel stresses, the stress impact is suddenly increased when a distance-to-radius ratio of below 1 is considered. Accordingly, the aforementioned ratio is crucial for thermal stress management. On the basis of the piezoresistance of Si pMOSFET, the tensile and compressive stresses have a negative effect on stress-induced performance, which means the optimized gate width should be designed carefully to minimize the KOZ region under the annealing RS impact of Cu-filled TSV. Thus, KOZ estimation of Si pMOSFET is presented and discussed in the following section.

4.2. Stress-Induced Hole Carrier Mobility Gain Change and KOZ Estimation Based on Analytical and FEA Submodeling Results

When the distance between the TSV origin and Si pMOSFET is fixed at 20 μm, the criterion for KOZ determination is considered to be a 10% change in carrier mobility gain [45]. As shown in Figure 8, the hole carrier mobility gain change is apparently unfavorable for the 30 μm TSV diameter design with 700 MPa RS. Carrier mobility gains of −36.46% and −40.56% are estimated by the analytical solution and semi-empirical formula, respectively. In comparison, the FEA submodeling results reveal nearly −18% hole carrier mobility gain change under the same TSV diameter and RS magnitude. This nearly −18% mobility gain change is not linearly proportional to the designed gate width because the longitudinal and transverse stress-induced mobility gain changes compete with each other. For the TSV diameter below 15 μm, the estimated mobility gain changes meet the design criteria of KOZ determination (10% mobility change) under 700 MPa RS impact (corresponding to the 420 °C annealing procedure). Notably, the nearly 22 μm TSV diameter design is acceptable according to the FEA submodeling results. The KOZ region can be further determined by the distance between the designed r (20 μm) and the edge of TSV. Therefore, the KOZ regions are, respectively, estimated as 12.5 μm (for DTSV = 15 μm) and 9 μm (for DTSV = 22 μm) by the analytical solution/semi-empirical formula and FEA submodeling approach. Moreover, the estimated results provided by the semi-empirical formula are similar to the FEA submodeling results when 167 MPa RS magnitude and 15 μm TSV diameter are considered; however, a −1.8% mobility change is still overestimated. Moreover, the mobility gain variation is almost independent of the designed DTSV when the 167 MPa RS is considered. This phenomenon can be attributed to the piezoresistive behavior of Si pMOSFET, and the compressive and tensile stresses are attributed to the stress-induced performance. However, the longitudinal and transverse channel stresses are positively and negatively proportional to the increment of DTSV, respectively, which means the positive and negative influences on device performance generated by longitudinal and transverse stresses are countervailed. Accordingly, the final carrier mobility gain is almost independent of channel gate width variation. These results indicate that the estimated hole carrier mobility change provided by the analytical solution and semi-empirical formula is significantly overestimated compared with the value from the FEA submodeling approach, which considers the actual structural characteristics of the layout design on packaging and device levels. These results are beneficial to accurately estimating the KOZ region and further increasing the integration density from packaging-level design in the TSV interposer architecture.

4.3. FEA Submodeling Results on the Longitudinal and Transverse Stresses of the Si pMOSFET Device Channel under the Integrated Effect of TSV RS and Strain Engineering S/D Lattice Stressor

The influence of TSV RS on device performance is systemically discussed in this section. On the basis of an unstrained Si pMOSFET, the TSV RS-induced performance degradation is estimated to be 0.05 % to 18.93 % depending on the designed TSV diameter and channel gate width. This phenomenon is not favorable because the performance degradation of pMOSFET is difficult to determine using nMOSFET with a high initial carrier transport capability. For this reason, the S/D lattice-strained Si0.75Ge0.25 stressor is used in this study to analyze the comprehensive effect on stress-induced performance variation under the integrated stresses of TSV RS and S/D lattice mismatch. As shown in Figure 9, the S/D lattice stress dominates the longitudinal stress magnitudes in the Si pMOSFET channel. This dominance is attributed to the high stress transfer efficiency between S/D and the device channel in accordance with the direct contact between the foregoing components. According to the analytic results presented in Figure 7, the longitudinal channel stress impact introduced by 5 μm diameter TSV is almost zero. Hence, the longitudinal stress magnitude for the S/D strained Si pMOSFET with 5 μm TSV diameter (shown in Figure 9) can be regarded as the lattice mismatch stress generated by the S/D stressor. The lattice mismatch strain amount is proportional to the increment in gate width because an increased gate width enlarges the width of the S/D region and generates more lattice mismatch strain subsequently. For a narrow TSV design with a 5 μm diameter, the longitudinal channel stress is completely determined by the S/D lattice stress when the two different TSV RS magnitude (167 and 700 MPa) are considered. However, given that the design TSV diameter is enlarged from 5 μm to 30 μm, the longitudinal channel stress varies from −870.43 MPa to −647.66 MPa for a Si pMOSFET with a 70 nm gate width. These results are due to the enlarged TSV diameter shrinking the relative distance between TSV and the device location, thereby enhancing the tensile RS impact of TSV introduced into the device channel and weakening the compressive stress induced by the S/D stressor. Moreover, the narrow gate width cannot obstruct the tensile RS impact of TSV transferred to the concerned Si pMOSFET channel. Accordingly, the longitudinal channel stresses of lattice-strained Si pMOSFET are mainly dominated by the S/D stressor, but the RS impact of TSV also plays an important role when enlarged TSV diameters and RS magnitudes are utilized.
The transverse channel stress introduced by the TSV RS impact and S/D strained Si0.75Ge0.25 stressor is further illustrated in Figure 10. Similar to the stress trend shown in Figure 9, the transverse channel stress remains stable because the RS impact generated by the Cu-filled TSV is limited to almost zero when the TSV diameter of below 15 μm is utilized. For a narrow gate width of 70 nm, a significant tensile transverse channel stress is observed. This stress status can be attributed to the Poisson’s ratio mechanism based on generalized Hooke’s law. When the gate width is enlarged from 70 nm to 700 nm, the aspect ratio of the device channel increases and limits the Poisson’s ratio mechanism. The enlarged gate width also extends the width of the channel and further degrades the uniformity and concentration of S/D-induced lattice mismatch stress. Notably, the compressive and tensile stress status along the longitudinal and transverse directions is favorable for stress-induced performance enhancement in accordance with the piezoresistance characteristics of Si pMOSFET. The optimized mobility gains of the considered Si pMOSFET are not linearly proportional to the increase or decrease in gate width. Thus, the gate width-dependent carrier mobility gains under the integrated stress generated by RS of TSV and the S/D lattice stressor are estimated and discussed in the following section.

4.4. Stress-Induced Hole Carrier Mobility Gain Change in the S/D Lattice-Strained Si pMOSFET Under the RS Impact Generated by Cu-Filled TSV

On the basis of the longitudinal and transverse channel stresses presented in Figure 9 and Figure 10, the stress-induced carrier mobility gain generated by the RS of TSV and lattice mismatch stress of the S/D Si0.75Ge0.25 stressor is illustrated in Figure 11. The RS of TSV significantly degrades the hole carrier mobility of Si pMOSFET, and its influence is proportional to the increment in the designed TSV diameter and RS magnitude. In accordance with the gate width-dependent stresses discussed in the previous section, the optimized gate width is determined to be 300 nm. In consideration of the 420 °C annealing procedure for TSV (corresponding to 700 MPa RS) and 300 nm gate width for Si pMOSFET, the carrier mobility gain varies from 83.54% to 65.89% when the TSV diameter is enlarged from 5 μm to 30 μm. A −17.65% difference in carrier mobility gain results from the RS impact of TSV, and a similar change of nearly −18% to −19% is observed for all designed gate widths. Notably, the adopted piezoresistance parameter is regarded as constant, but in actual experimental measurements on piezoresistance extraction from transistors, it is simultaneously influenced by the doping density of the device channel, gate effective field, applied drain voltage and measurement uncertainty. On the basis of the piezoresistance of the Si pMOSFET in Literature [5], a maximum of 20% estimation uncertainty is explored. These results reveal that the performance of lattice-strained Si pMOSFET is dominated by the designed S/D stressor adjacent to the concerned device channel. However, a narrow TSV diameter and pitch design are the main factors for further increasing the integration density in electronic packaging. The TSV-induced stress impact on the performance of an advanced device will be harsh if the relative distance between TSV and the concerned device is narrowed to a few micrometers. The major contribution of the present study is that it demonstrates an FEA submodeling-based approach to estimate the stress-induced performance impact under the integration of packaging-level and transistor-level stresses. Moreover, the feasibility of the widely adopted analytical solution for TSV stress-affected zone estimation, the Lamé radial stress solution, and its derived semi-empirical formula are utilized and discussed in comparison with the present FEA submodeling approach. The analytic results presented in this study reveal that the abovementioned analytical solutions significantly overestimate the stress transfer efficiency from TSV to the device. The FEA submodeling technique demonstrated in this study provides an effective approach to analyze the stress-induced performance impact for high-integration-density design in electronic packaging and overcomes the difficulty of FEA model construction with a significant size difference between considered components from micro- to nano-level dimensions.

5. Conclusions

An FEA-based submodeling approach was demonstrated to estimate the stress impact from a packaging-level interconnect to a nano-scaled transistor device. The widely utilized Lamé radial stress solution and its derived semi-empirical formula were adopted to analyze the stress-affected zone generated by Cu-filled TSV design, and their results were compared with the results of the FEA-based submodeling approach. The analytic results revealed that the Lamé analytical solution overestimated the stresses transferred from TSV to the concerned device by over 50%. This result means that the stress transfer efficiency between TSV and the transistor device was overestimated because the stress buffer mechanism from the barrier, STI and the layout of the device are neglected in the abovementioned analytical solution. Under the same layout and RS impact of the designed TSV interposer packaging, −36.46%, −40.56% and −18% carrier mobility gains were estimated by the analytical solution, semi-empirical formula and FEA-based submodeling approach, respectively. The highly accurate estimation of the TSV stress-affected zone and its impact on device performance by the presented submodeling approach is due to the analysis of the KOZ region and increased integration density of the device with good usage of the wafer area. Moreover, the comprehensive stress impact generated by the RS of TSV and device-level strain engineering was investigated. The S/D lattice-strained Si0.75Ge0.25 stressor was utilized to generate a favorable stress status in Si pMOSFET, and its effect was compared with the RS impact from the Cu-filled TSV. The results showed the S/D lattice stressor dominated the status of stress components in the device channel, but the stress impact generated by TSV could be harsh if the integration density of devices and TSV interconnects is further increased. These issues can be further investigated using advanced 3D device architectures and the present FEA-based submodeling approach.

Author Contributions

Conceptualization, P.-C.H. and C.-C.L.; methodology, P.-C.H. and C.-C.L.; investigation, P.-C.H.; writing—original draft preparation, P.-C.H.; writing—review and editing, C.-C.L.; supervision, C.-C.L. Both authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Ministry of Science and Technology (MOST), Taiwan, R.O.C., which provided financial support under contract numbers MOST 108-2221-E-007-081-MY3 and MOST 109-2218-E-007-007.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data sharing is not applicable to this article.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Lubow, A.; Ismail-Beigi, S.; Ma, T.P. Comparison of Drive Currents in Metal-Oxide-Semiconductor Field-Effect Transistors Made of Si, Ge, GaAs, InGaAs, and InAs Channels. Appl. Phys. Lett. 2012, 96, 122105. [Google Scholar] [CrossRef]
  2. Alamo, J.A. Del. Nanometre-scale Electronics with III–V Compound Semiconductors. Nature 2011, 479, 317–323. [Google Scholar] [CrossRef]
  3. Suthram, S.; Ziegert, J.C.; Nishida, T.; Thompson, S.E. Piezoresistance Coefficients of (100) Silicon nMOSFETs Measured at Low and High (∼1.5 GPa) Channel Stress. IEEE Electron Device Lett. 2007, 28, 58–61. [Google Scholar] [CrossRef]
  4. Nainani, A.; Yum, J.; Barnett, J.; Hill, R.; Goel, N.; Huang, J.; Majhi, P.; Jammy, R.; Sarawat, K.C. Study of Piezoresistance Under Unixial Stress for Technologically Relevant III-V Semiconductors Using Wafer Bending Experiments. Appl. Phys. Lett. 2010, 96, 242110. [Google Scholar] [CrossRef]
  5. Chu, M.; Nishida, T.; Lv, X.; Mohta, N.; Thompson, S.E. Comparison between High-Field Piezoresistance Coefficients of Si Metal-Oxide-Semiconductor Field-Effect Transistors and Bulk Si Under Uniaxial and Biaxial Stress. J. Appl. Phys. 2008, 103, 113704. [Google Scholar] [CrossRef]
  6. Gambino, J.P.; Adderly, S.A.; Knickerbocker, J.U. An Overview of Through-Silicon-Via Technology and Manufacturing Challenges. Microelectron. Eng. 2015, 135, 73–106. [Google Scholar] [CrossRef]
  7. Shi, P.; Enloe, J.; van den Boom, R.; Sapp, B. Direct Copper Electrodeposition on a Chemical Vapor-Deposited Ruthenium Seed Layer for Through-Silicon Vias. In Proceedings of the IEEE International Interconnect Technology Conference, San Jose, CA, USA, 4–6 June 2012. [Google Scholar]
  8. Lau, J.H. Evolution and Outlook of TSV and 3D IC/Si Integration. In Proceedings of the Electronics Packaging Technology Conference, Singapore, 8–10 December 2010. [Google Scholar]
  9. Lau, J.H. Evolution, challenge, and outlook of TSV, 3D IC integration and 3D Silicon Integration. In Proceedings of the International Symposium on Advanced Packaging Materials, Xiamen, China, 25–28 October 2011. [Google Scholar]
  10. Li, L.; Ton, P.; Nagar, M.; Chia, P. Reliability Challenges in 2.5D and 3D IC Integration. In Proceedings of the IEEE Electronic Components and Technology Conference, Orlando, FL, USA, 30 May–2 June 2017. [Google Scholar]
  11. Leduc, P.; Crécy, F.D.; Fayolle, M.; Charlet, B.; Enot, T.; Zussy, M.; Jones, B.; Barbé, J.C.; Kernevez, N.; Sillon, N.; et al. Challenges for 3D IC Integration: Bonding Quality and Thermal Management. In Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, CA, USA, 4–6 June 2007. [Google Scholar]
  12. Heryanto, A.; Putra, W.N.; Trigg, A.; Gao, S.; Kwon, W.S.; Che, F.X.; Ang, X.F.; Wei, J.; Made, R.I.; Gan, C.L.; et al. Effect of Copper TSV Annealing on Via Protrusion for TSV Wafer Fabrication. J. Electron. Mater. 2012, 41, 2533–2542. [Google Scholar] [CrossRef]
  13. Che, F.X.; Putra, W.N.; Heryanto, A.; Trigg, A.; Zhang, X.; Gan, C.L. Study on Cu Protrusion of Through-Silicon Via. IEEE Trans. Compon. Pack. Manuf. Technol. 2013, 3, 732–739. [Google Scholar] [CrossRef]
  14. Ranganathan, N.; Prasad, K.; Balasubramanian, N.; Pey, K.L. A Study of Thermo-Mechanical Stress and Its Impact on Through-Silicon Vias. J. Micromech. Microeng. 2008, 18, 75018. [Google Scholar] [CrossRef]
  15. Amagai, M.; Suzuki, Y. TSV Stress Testing and Modeling. In Proceedings of the Electronic Components and Technology Conference, Las Vegas, NV, USA, 1–4 June 2010. [Google Scholar]
  16. Xu, X.; Karmarkar, A. 3D TCAD Modeling for Stress Management in Through Silicon Via (TSV) Stacks. AIP Conf. Proc. 2011, 1378, 53–66. [Google Scholar]
  17. Zhu, Y.; Zhang, J.; Li, H.Y.; Tan, C.S.; Xia, G. Study of Near-Surface Stresses in Silicon Around Through-Silicon Vias at Elevated Temperatures by Raman Spectroscopy and Simulations. IEEE Trans. Device Mater. Reliab. 2015, 15, 142–148. [Google Scholar] [CrossRef]
  18. Saettler, P.; Hecker, M.; Boettcher, M.; Rudolph, C.; Wolter, K.J. μ-Raman Spectroscopy and FE-Modeling for TSV-Stress-Characterization. Microelectron. Eng. 2015, 137, 105–110. [Google Scholar] [CrossRef]
  19. Okoro, C.; Levine, L.E.; Xu, R.; Hummler, K.; Obeng, Y.S. Nondestructive Measurement of the Residual Stresses in Copper Through-Silicon Vias Using Synchrotron-Based Microbeam X-ray Diffraction. IEEE Trans. Electron Devices. 2014, 61, 2473–2479. [Google Scholar]
  20. Song, Y.; Abbaspour, R.; Bakir, M.S.; Sitaraman, S.K. Thermal Annealing Effects on Copper Microstructure in Through—Silicon-Vias. In Proceedings of the IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, Las Vegas, NV, USA, 31 May–3 June 2016. [Google Scholar]
  21. Mercha, A.; Van der Plas, G.; Moroz, V.; Wolf, I.D.; Asimakopoulos, P.; Minas, N.; Domae, S.; Perry, D.; Choi, M.; Redolfi, A.; et al. Comprehensive Analysis of the Impact of Single and Arrays of Through Silicon Vias Induced Stress on High-k/Metal Gate CMOS Performance. In Proceedings of the International Electron Devices Meeting, San Francisco, CA, USA, 6–8 December 2010. [Google Scholar]
  22. Okoro, C.; Yang, Y.; Vandevelde, B.; Swinnen, B.; Vandepitte, D.; Verlinden, B.; Wolf, I.D. Extraction of the Appropriate Material Property for Realistic Modeling of Through-Silicon-Vias using µ-Raman Spectroscopy. In Proceedings of the International Interconnect Technology Conference, Burlingame, CA, USA, 1–4 June 2008. [Google Scholar]
  23. Jung, M.; Pan, D.Z.; Lim, S.K. Through-Silicon-Via Material Property Variation Impact on Full-Chip Reliability and Timing. In Proceedings of the IEEE International Interconnect Technology Conference, San Jose, CA, USA, 20–23 May 2014. [Google Scholar]
  24. Okoro, C.; Levine, L.E.; Xu, R.; Obeng, Y.S. Experimentally, How Does Cu TSV Diameter Influence its Stress State? In Proceedings of the IEEE Electronic Components and Technology Conference, San Diego, CA, USA, 26–29 May 2015. [Google Scholar]
  25. Budiman, A.; Shin, H.A.S.; Kim, B.J.; Hwang, S.H.; Son, H.Y.; Suh, M.S.; Chung, Q.H.; Byun, K.Y.; Tamura, N.; Kunz, M. Measurement of Stresses in Cu and Si around Through-Silicon Via by Synchrotron X-ray Microdiffraction for 3-Dimensional Integrated Circuits. Microelectron. Reliab. 2012, 52, 530–533. [Google Scholar] [CrossRef]
  26. Wolf, I.D.; Croes, K.; Pedreira, O.V.; Labie, R.; Redolfi, A.; Van De Peer, M.; Vanstreels, K.; Okoro, C.; Vandevelde, B.; Beynea, E. Cu Pumping in TSVs: Effect of Pre-CMP Thermal Budget. Microelectron. Reliab. 2011, 51, 1856–1859. [Google Scholar] [CrossRef]
  27. Lee, C.C.; Huang, C.C. Induced Thermo-Mechanical Reliability of Copper-Flled TSV Interposer by Transient Selective Annealing Technology. Microelectron. Reliab. 2015, 55, 2213–2219. [Google Scholar] [CrossRef]
  28. Pan, Y.; Li, F.; He, H.; Li, J.; Zhu, W. Effects of Dimension Parameters and Defect on TSV Thermal Behavior for 3D IC packaging. Microelectron. Reliab. 2017, 70, 97–102. [Google Scholar] [CrossRef]
  29. Jung, M.; Mitra, J.; Pan, D.Z.; Lim, S.K. TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3D IC. Commun. ACM 2014, 57, 107–115. [Google Scholar] [CrossRef]
  30. Che, F.X.; Xie, L.; Chen, Z.H.; Wickramanayaka, S. Study on Warpage and Stress of TSV Wafer with Ultra-Fine Pitch Vias for High Density Chip Stacking. In Proceedings of the IEEE Electronics Packaging Technology Conference, Singapore, 6–9 December 2017. [Google Scholar]
  31. Feng, W.; Bui, T.T.; Watanabe, N.; Shimamoto, H.; Aoyagi, M.; Kikuchi, K. Fabrication and stress analysis of annular-trench-isolated TSV. Microelectron. Reliab. 2016, 63, 142–147. [Google Scholar] [CrossRef]
  32. Feng, W.; Watanabe, N.; Shimamoto, H.; Kikuchi, K.; Aoyagi, M. Methods to Reduce Thermal Stress for TSV Scaling ~TSV with Novel Structure: Annular-Trench-Isolated TSV. In Proceedings of the IEEE Electronic Components and Technology Conference, San Diego, CA, USA, 26–29 May 2015. [Google Scholar]
  33. Li, Z.; Tian, Y.; Teng, C.; Cao, H. Recent Advances in Barrier Layer of Cu Interconnects. Materials 2020, 13, 5049. [Google Scholar] [CrossRef]
  34. Lee, K.W.; Wang, H.; Bea, J.C.; Murugesan, M.; Sutou, Y.; Fukushima, T.; Tanaka, T.; Koike, J.; Koyanagi, M. Barrier Properties of CVD Mn Oxide Layer to Cu Diffusion for 3-D TSV. IEEE Electron Device Lett. 2014, 35, 114–116. [Google Scholar] [CrossRef]
  35. Lee, K.W.; Nagai, C.; Nakamura, A.; Bea, J.C.; Murugesan, M.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Effects of Electro-less Ni Layer as Barrier/Seed Layers for High Reliable and Low Cost Cu TSV. In Proceedings of the International 3D Systems Integration Conference, Kinsdale, Ireland, 1–3 December 2014. [Google Scholar]
  36. Li, F.; He, H.; Tian, Q.; Xiao, C.; Li, J.; Zhu, W. A Measurement Method on Nanoscale Thickness of the Ti Barrier Layer of TSV Structure for 3-D IC. IEEE Trans. Compon. Pack. Manuf. Technol. 2016, 6, 954–958. [Google Scholar] [CrossRef]
  37. Djomeni, L.; Mourier, T.; Minoret, S.; Fadloun, S.; Piallat, F.; Burgess, S.; Price, A.; Zhou, Y.; Jones, C.; Mathiot, D.; et al. Study of Low Temperature MOCVD Deposition of TiN Barrier Layer for Copper Diffusion in High Aspect Ratio Through Silicon Vias. Microelectron. Eng. 2014, 120, 127–132. [Google Scholar] [CrossRef]
  38. Battegay, F.; Fourel, M. Barrier Material Selection for TSV Last, Flipchip & 3D—UBM & RDL Integrations. In Proceedings of the IEEE Electronic Components and Technology Conference, San Diego, CA, USA, 26–l29 May 2015. [Google Scholar]
  39. Zare, Y.; Sasajima, Y.; Onuki, J. Evaluation of Cu-TSV Barrier Materials as a Solution to Copper Protrusion. J. Electron. Mater. 2020, 49, 2076–2085. [Google Scholar] [CrossRef]
  40. Tsai, M.Y.; Huang, P.S.; Huang, C.Y.; Jao, H.; Huang, B.; Wu, B.; Lin, Y.Y.; Liao, W.; Huang, J.; Huang, L.; et al. Investigation on Cu TSV-Induced KOZ in Silicon Chips: Simulations and Experiments. IEEE Trans. Electron Devices. 2013, 60, 2331–2337. [Google Scholar] [CrossRef]
  41. Wolf, M.J.; Dretschkow, T.; Wunderle, B.; Jürgensen, N.; Engelmann, G.; Ehrmann, O.; Uhlig, A.; Michel, B.; Reichl, H. High Aspect Ratio TSV Copper Filling with Different Seed Layers. In Proceedings of the IEEE Electronic Components and Technology Conference, Lake Buena Vista, FL, USA, 27–30 May 2008. [Google Scholar]
  42. Lu, K.H.; Zhang, X.; Ryu, S.K.; Im, J.; Huang, R.; Ho, P.S. Thermo-Mechanical Reliability of 3-D ICs containing Through Silicon Vias. In Proceedings of the IEEE Electronic Components and Technology Conference, San Diego, CA, USA, 26–29 May 2009. [Google Scholar]
  43. Ryu, S.K.; Lu, K.H.; Zhang, X.; Im, J.H.; Ho, P.S.; Huang, R. Impact of Near-Surface Thermal Stresses on Interfacial Reliability of Through-Silicon Vias for 3-D Interconnects. IEEE Trans. Device Mater. Reliab. 2011, 11, 35–43. [Google Scholar] [CrossRef]
  44. Chiang, K.N.; Chang, C.H.; Peng, C.T. Local-Strain Effects in Si/SiGe/Si Islands on Oxide. Appl. Phys. Lett. 2005, 87, 191901. [Google Scholar] [CrossRef]
  45. Lee, C.C.; Huang, P.C.; Lin, Y.C. Analytical Model Developed for Precise Stress Estimation of Device Channel Within Advanced Planar MOSFET Architectures. IEEE Trans. Electron Devices 2020, 67, 1498–1505. [Google Scholar] [CrossRef]
  46. Lee, C.C.; Huang, P.C. Layout Study of Strained Ge-Based PMOSFETs Integrated with S/D GeSn Alloy and CESL by Using Process-Oriented Stress Simulations. IEEE Trans. Electron Devices 2018, 65, 4975–4981. [Google Scholar] [CrossRef]
Figure 1. Schematic of Lamé radial stress solution based on the superposition principle. The stress field is integrated by the intrinsic stressed TSV core and reacted stress from the surrounding Si substrate.
Figure 1. Schematic of Lamé radial stress solution based on the superposition principle. The stress field is integrated by the intrinsic stressed TSV core and reacted stress from the surrounding Si substrate.
Materials 14 05226 g001
Figure 2. Schematic of TSV stress-affected zone calculation and corresponding structural parameters. The enlarged figure on the right side refers to the stress element in any location on the Si top surface.
Figure 2. Schematic of TSV stress-affected zone calculation and corresponding structural parameters. The enlarged figure on the right side refers to the stress element in any location on the Si top surface.
Materials 14 05226 g002
Figure 3. Schematic of a single TSV unit FEA model in an array-type TSV interposer and the corresponding structural parameters and materials. The TSV pitch is designed as 40 μm in this study.
Figure 3. Schematic of a single TSV unit FEA model in an array-type TSV interposer and the corresponding structural parameters and materials. The TSV pitch is designed as 40 μm in this study.
Materials 14 05226 g003
Figure 4. Schematic of a local Si pMOSFET FEA model and the corresponding structural parameters and components.
Figure 4. Schematic of a local Si pMOSFET FEA model and the corresponding structural parameters and components.
Materials 14 05226 g004
Figure 5. Schematic of how to introduce the TSV stress influence into the transistor device region based on the submodeling technique.
Figure 5. Schematic of how to introduce the TSV stress influence into the transistor device region based on the submodeling technique.
Materials 14 05226 g005
Figure 6. TSV-diameter, TSV-residual stress magnitude and channel gate width dependence longitudinal channel stress estimation (stress along the channel length direction) by using the analytical formula, semi-empirical formula and FEA submodeling simulation.
Figure 6. TSV-diameter, TSV-residual stress magnitude and channel gate width dependence longitudinal channel stress estimation (stress along the channel length direction) by using the analytical formula, semi-empirical formula and FEA submodeling simulation.
Materials 14 05226 g006
Figure 7. TSV-diameter, TSV-residual stress magnitude and channel gate width dependence transverse channel stress (stress along the channel width direction) estimated by the analytical formula, semi-empirical formula and FEA submodeling simulation.
Figure 7. TSV-diameter, TSV-residual stress magnitude and channel gate width dependence transverse channel stress (stress along the channel width direction) estimated by the analytical formula, semi-empirical formula and FEA submodeling simulation.
Materials 14 05226 g007
Figure 8. Comprehensive stress-induced impact on Si pMOSFET performance under different combinations of TSV/device layout design and TSV residual stress magnitude. The hole carrier mobility gain is estimated by the simulated stress components integrated with the piezoresistive behavior of Si.
Figure 8. Comprehensive stress-induced impact on Si pMOSFET performance under different combinations of TSV/device layout design and TSV residual stress magnitude. The hole carrier mobility gain is estimated by the simulated stress components integrated with the piezoresistive behavior of Si.
Materials 14 05226 g008
Figure 9. Dependence of the layout and annealing process design on the longitudinal channel stress of Si pMOSFET estimated by the analytical formula, semi-empirical formula and FEA submodeling simulation approach.
Figure 9. Dependence of the layout and annealing process design on the longitudinal channel stress of Si pMOSFET estimated by the analytical formula, semi-empirical formula and FEA submodeling simulation approach.
Materials 14 05226 g009
Figure 10. Dependence of the layout and annealing process design on the transverse channel stress of Si pMOSFET estimated by the analytical formula, semi-empirical formula and FEA submodeling approach.
Figure 10. Dependence of the layout and annealing process design on the transverse channel stress of Si pMOSFET estimated by the analytical formula, semi-empirical formula and FEA submodeling approach.
Materials 14 05226 g010
Figure 11. Comprehensive hole carrier mobility gain variation in the S/D lattice-strained Si pMOSFET.
Figure 11. Comprehensive hole carrier mobility gain variation in the S/D lattice-strained Si pMOSFET.
Materials 14 05226 g011
Table 1. Material characteristics utilized in this study for analytical stress calculation and FEA stress simulation.
Table 1. Material characteristics utilized in this study for analytical stress calculation and FEA stress simulation.
ModelComponentsE, GPaνα, ppm/K
Global TSV interposerTSV (Cu)1170.3016.7
Barrier (TaN)1860.3426.48
Interposer (Si)1690.262.3
STI (SiO2)71.70.160.51
Local transistor deviceGate (Poly-Si)1600.222.3
Liner (SiO2)71.70.160.51
Spacer (SiN)123.30.303.05
S/D (Si0.75Ge0.25)1610.2653.2
Substrate (Si)1690.262.3
STI (SiO2)71.70.160.51
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Huang, P.-C.; Lee, C.-C. Stress Impact of the Annealing Procedure of Cu-Filled TSV Packaging on the Performance of Nano-Scaled MOSFETs Evaluated by an Analytical Solution and FEA-Based Submodeling Technique. Materials 2021, 14, 5226. https://doi.org/10.3390/ma14185226

AMA Style

Huang P-C, Lee C-C. Stress Impact of the Annealing Procedure of Cu-Filled TSV Packaging on the Performance of Nano-Scaled MOSFETs Evaluated by an Analytical Solution and FEA-Based Submodeling Technique. Materials. 2021; 14(18):5226. https://doi.org/10.3390/ma14185226

Chicago/Turabian Style

Huang, Pei-Chen, and Chang-Chun Lee. 2021. "Stress Impact of the Annealing Procedure of Cu-Filled TSV Packaging on the Performance of Nano-Scaled MOSFETs Evaluated by an Analytical Solution and FEA-Based Submodeling Technique" Materials 14, no. 18: 5226. https://doi.org/10.3390/ma14185226

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop