Next Article in Journal
Effect of Triterpenoid Saponins as Foaming Agent on Mechanical Properties of Geopolymer Foam Concrete
Previous Article in Journal
Trace Elements Anomalous Concentrations in Building Materials—The Impact of Secondary Mineralisation Processes
Previous Article in Special Issue
Silver Decoration of Vertically Aligned MoS2-MoOx Nanosheets: A Comprehensive XPS Investigation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effect of Discharge Gas Composition on SiC Etching in an HFE-347mmy/O2/Ar Plasma

1
Department of Chemical Engineering and Department of Energy Systems Research, Ajou University, Worldcup-ro 206, Yeongtong-gu, Suwon 16499, Republic of Korea
2
School of Chemical Engineering, Sungkyunkwan University (SKKU), Seobu-ro 2066, Jangan-gu, Suwon 16419, Republic of Korea
*
Author to whom correspondence should be addressed.
Materials 2024, 17(16), 3917; https://doi.org/10.3390/ma17163917
Submission received: 26 June 2024 / Revised: 23 July 2024 / Accepted: 5 August 2024 / Published: 7 August 2024

Abstract

:
This study explores the impact of varying discharge gas compositions on the etching performance of silicon carbide (SiC) in a heptafluoroisopropyl methyl ether (HFE-347mmy)/O2/Ar plasma. SiC is increasingly favored for high-temperature and high-power applications due to its wide bandgap and high dielectric strength, but its chemical stability makes it challenging to etch. This research explores the use of HFE-347mmy as a low-global-warming-potential (GWP) alternative to the conventional high-GWP fluorinated gasses that are typically used in plasma etching. By examining the behavior of SiC etch rates and analyzing the formation of fluorocarbon films and Si-O bonds, this study provides insights into optimizing plasma conditions for effective SiC etching, while addressing environmental concerns associated with high-GWP gasses.

1. Introduction

Silicon (Si) semiconductor devices are used in various electronic devices. However, they face problems operating at high temperatures and high voltages, owing to their narrow bandgap (1.1 eV) and low dielectric strength (0.3 MV/cm), resulting in a high power loss and low switching frequencies [1]. Accordingly, several materials are being investigated as an alternative to Si. Among them, silicon carbide (SiC) possesses advantageous physical properties such as a wide bandgap (3.2 eV) and a high dielectric strength (3 MV/cm), rendering it suitable for high-temperature, high-power, and high-frequency applications [2,3,4].
The etching of SiC using wet chemical etching is constrained by its outstanding chemical stability. Typically, the wet etching of SiC is achieved either in KOH solutions at elevated temperatures (>600 °C) [1] or with photoelectrochemical etching [5,6], which complicates feature-size control. Consequently, dry etching in a gaseous plasma is commonly employed for SiC etching.
During plasma etching, a substrate is etched using a reaction with reactive radicals generated from a plasma, forming volatile products. Therefore, plasmas containing fluorinated gasses (such as CHF3, CF4, and SF6) mixed with O2 are typically used for SiC etching because F and O radicals react with Si or C to produce volatile SiF4, CF4, CO, and CO2 [7,8,9,10,11,12,13]. However, these fluorinated gasses are problematic from an environmental viewpoint because of their high global warming potentials (GWPs) (CHF3: 14,600, CF4: 7300, and SF6: 25,200). They have been categorized as greenhouse gasses under the Kyoto Protocol, and reduction targets for greenhouse gas emissions have been set for each country in the Paris Agreement. To address this issue, efforts have been made to reduce emissions of high-GWP gasses by process optimization, abatement, and recovery/capture methods. These methods have the advantage of reducing high-GWP gas emissions under the use of existing processes. However, the European Union is currently seeking to completely restrict the use of per- and polyfluoroalkyl substances (PFASs), and the United States has also announced the strengthening of individual regulations on PFASs [14,15]. Since high-GWP fluorinated gasses used in SiC etching are not free from PFAS regulations, it is effective to replace them with low-GWP alternatives rather than reducing high-GWP gas emissions by process optimization, abatement, and recovery/capture.
The development of alternative chemistries as substitutes for high-GWP fluorinated gasses has been challenged for the plasma etching of dielectrics such as SiO2 and Si3N4 [16,17,18,19]. Several types of alternative chemistries to high-GWP fluorinated gasses have been examined for dielectric etching, including unsaturated fluorocarbons [20,21], iodofluorocarbons [22,23], hydrofluoroethers [24,25,26], and hydrofluoroalcohols [27]. However, the evaluation of low-GWP materials for SiC etching has rarely been reported.
Recently, we reported the use of heptafluoroisopropyl methyl ether (HFE-347mmy, CF3CFOCH3CF3) as a low-GWP alternative for SiC etching [28], demonstrating higher etch rates and smoother etched surfaces compared to traditional gasses such as SF6. HFE-347mmy belongs to a group of fluorinated ethers and contains an oxygen atom. It has been used for the plasma etching of SiO2 [29]. The oxygen atoms in fluorinated ethers may generate oxygen radicals and ions in the plasma, aiding in SiO2 etching.
As an extension of the previous study, the effect of plasma chemistry on SiC etching in an HFE-347mmy/O2/Ar plasma was investigated in the present article. The behavior of the SiC etch rates with respect to the composition of discharge gasses in the HFE-347mmy/O2/Ar plasma was studied in terms of the relative amounts of radicals generated from the plasma, the thickness of the steady-state fluorocarbon films formed on the SiC surface, and the fraction of Si-O bonds occupying the SiC surface.

2. Materials and Methods

SiC etching was performed in an inductively coupled plasma (ICP) system, as shown in Figure 1. Two 13.56 MHz radio-frequency power generators were used separately to supply source and bias powers. The source power was applied through a five-turn induction coil to ignite a plasma. The induction coil had a planar shape and was placed on top of a quartz window. The bias power was applied with a stainless-steel electrode to bias a specimen on the electrode. The electrode was kept at 15 °C using a chiller. The quartz window on the sidewall of the ICP chamber allowed us to check the optical properties of the plasma.
The discharge gas was a mixture of HFE-347mmy/O2/Ar. HFE-347mmy was in a liquid phase at room temperature, owing to its boiling point of 29 °C. It was vaporized in a canister, which was heated to 75 °C. The vaporized HFE-347mmy was mixed with O2 and Ar prior to its entry into the ICP chamber, and the resultant gas mixture was then introduced into the chamber. Table 1 shows the typical properties of HFE-347mmy.
HFE-347mmy/O2/Ar was used for SiC etching. The flow rate of Ar was fixed at 5 sccm. The flow rates of HFE-347mmy and O2 were varied from 1 to 9 sccm to maintain a total flow rate of 15 sccm. For example, when the flow rate of HFE-347mmy was 1 sccm, the flow rate of O2 was 9 sccm, and vice versa. Other process conditions were fixed as follows: chamber pressure = 4.0 Pa, source power = 500 W, bias voltage = −500 V, and electrode temperature = 15 °C. The specimen was an n-type 4H-SiC substrate. Each specimen was in a rectangular shape (10 × 5 mm2).
The etch rates of SiC were determined by measuring the changes in the thickness of the SiC substrate with a surface profiler (Ambios Technology, XP-1, Santa Cruz, CA, USA) after the etching process. The relative amounts of radicals generated in the plasma were obtained using optical emission spectroscopy (OES, Avantes, AvaSpec-ULS2048-USB2-RM, Apeldoorn, The Netherlands). An analysis of the thickness of a steady-state fluorocarbon film formed on the SiC surface was performed using X-ray photoelectron spectroscopy (XPS, Thermo Electron, K-Alpha, Waltham, MA, USA), which had an X-ray source of 1486.6 eV generated from a movable Al anode at 15 kV.

3. Surface Reaction Mechanisms in Plasma Etching of SiC

Figure 2 illustrates the surface reaction mechanism involved in SiC etching. Typically, SiC is etched in plasmas containing fluorinated gasses such as SF6, CF4, and CHF3 mixed with O2. The major reactions during SiC etching are as follows [30]:
Si + 4F → SiF4 (g) [removal of F],
C + 4F → CF4 (g)   [removal of C],
C + 2F → CF2 (s)   [formation of CF2],
O + CF2 → COF2 (g)   [removal of C],
C + O → CO (g)   [removal of C],
C + 2O → CO2 (g)   [removal of C],
Si + O → SiO (s)   [formation of SiO],
Si + 2O → SiO2 (s)   [formation of SiO2],
F radicals generated from fluorinated gasses react with Si and C on SiC surfaces, forming volatile products SiF4 and CF4 (Equations (1) and (2)). Additionally, the reaction of F radicals with C produces CF2 (Equation (3)), serving as a precursor for the formation of fluorocarbon films on SiC surfaces. The fluorocarbon films act as an etch barrier against radicals and ions. Simultaneously, the fluorocarbon films are consumed by the reaction with O radicals generated from O2, forming volatile products of COF2 (Equation (4)).
O radicals can either facilitate or hinder SiC etching, depending on their reaction with Si or C. The reaction of O radicals with C on the SiC surface results in volatile products such as CO and CO2 (Equations (5) and (6)), leading to SiC etching. However, O radicals reacting with Si produce SiO and SiO2 on the SiC surface (Equations (7) and (8)). The formation of SiO and SiO2 reduces the active Si sites for the reaction with F, resulting in the suppression of SiC etching.

4. Results and Discussion

Figure 3 shows the etch rate of SiC in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2. The etch rate increased from 2210 to 2600 Å/min with an increase in the HFE-347mmy/O2 ratio from 0.11 (i.e., HFE-347mmy/O2 = 1/9 sccm) to 0.43 (HFE-347mmy/O2 = 3/7 sccm). The etch rate of SiC reached the maximum at an HFE-347mmy/O2 ratio of 0.43 and then decreased with the further increase in the HFE-347mmy/O2 ratio. The etch rate was as low as 340 Å/min when the HFE-347mmy/O2 ratio was 9.0 (HFE-347mmy/O2 = 9/1 sccm).
To investigate the behavior of the SiC etch rate with respect to the HFE-347mmy/O2 ratio, the relative amounts of radicals produced in the HFE-347mmy/O2/Ar plasma were obtained using OES. Figure 4 shows the optical emission intensity of the F, CF2, and O peaks in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2. The discharge condition for the OES measurements was the same as that for SiC etching. As the HFE-347mmy/O2 ratio increased, the CF2 peak intensity increased, while the O-peak intensity decreased. This corresponded to the changes in the flow rates of HFE-347mmy and O2, which were the parent materials for CF2 and O radicals, respectively. In particular, the CF2 peak intensity increased with the increasing flow rate of HFE-347mmy, and the O-peak intensity increased with increasing O2 flow rate. The change in the F-peak intensity with the flow rates of HFE-347mmy/O2 exhibited the same behavior as that in the etch rate of SiC. The F peak intensity increased with the initial increase in the HFE-347mmy/O2 ratio, reaching a maximum at an HFE-347mmy/O2 ratio of 0.43 (HFE-347mmy/O2 = 3/7 sccm), and decreased with the further increase in the HFE-347mmy/O2 ratio.
Although the intensities of the F and O peaks (correspondingly, the relative amounts of F and O radicals, which are the main etchants for SiC etching) decreased for HFE-347mmy/O2 ratios higher than 0.43, such a variation cannot solely explain the large decrease in the SiC etch rate in this HFE-347mmy/O2 ratio regime. As mentioned earlier, the fluorocarbon films are formed on the SiC surfaces during the plasma etching of SiC in fluorinated gasses. These fluorocarbon films prevent radicals or ions from arriving at the underlying substrate and suppress the etching of SiC. Therefore, the etch rate of SiC is affected by the thickness of the steady-state fluorocarbon film.
The thickness of the steady-state fluorocarbon films was obtained by comparing the XPS intensities of Si 2p spectra before and after the etching process [31]. XPS measurements were conducted on the SiC surfaces that had been etched for 1 min. Figure 5 shows the thickness of the steady-state fluorocarbon films formed on the SiC surfaces in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2. The film thickness slightly increased with the initial increase in the HFE-347mmy/O2 ratio and then rapidly increased at ratios higher than 0.43 (HFE-347mmy/O2 = 3/7 sccm). This agreed with the change in the optical emission intensity of the CF2 peak with the HFE-347mmy/O2 ratio (see Figure 4) because CF2 radicals are the main precursor for the deposition of the fluorocarbon film. Since a thicker fluorocarbon film leads to a slower etch rate, a large decrease in the SiC etch rate at HFE-347mmy/O2 ratios higher than 0.43 is attributed to both a decrease in the amounts of F and O radicals and an increase in the thickness of the steady-state fluorocarbon film.
As shown in Figure 2, SiC is etched by the reactions of not only F, but also O radicals with the substrate. Specifically, the higher the amount of O radicals present, the more SiC is etched. However, when the O2 flow rate increased from 7 to 9 sccm, the SiC etch rate decreased, even if the amount of O radicals increased (see Figure 3 and Figure 4). Obviously, it can be argued that the etch rate may decrease because of a decrease in the amount of F radicals in this flow rate regime. However, as the increase in the amount of O radicals is much greater than the decrease in that of F radicals, the SiC etch rate is expected to increase.
The decrease in the SiC etch rate with the O2 flow rate increasing from 7 to 9 sccm can be explained by the formation of SiO and SiO2 with an excess amount of O radicals. When there are sufficient O radicals in the plasma at high flow rates of O2, the reactions of O with Si rather than C play a major role, forming SiO and SiO2 on the SiC surfaces. The formation of SiO and SiO2 reduces the reaction probability of Si with F, causing the suppression of SiC etching. Under the process conditions used in this study, the etch rate of SiC decreased at O2 flow rates higher than 7 sccm, possibly because the formation of SiO and SiO2 was dominant over SiC etching. This explanation is plausible considering the reaction enthalpies for the formation of SiO2 and the removal of C (or SiC etching). The standard enthalpy for the formation of SiO2 (Equation (8)) is −1404 kJ·mol−1. In contrast, the standard enthalpies for the removal of C (Equations (4)–(6)) are −746 kJ·mol−1, −361 kJ·mol−1, and −888 kJ·mol−1, respectively. Thus, it can be said that the formation of SiO2 is more favorable than SiC etching when there are sufficient O radicals. To support the effect of the formation of the Si-O bond on the SiC etch rate, the Si-O occupancy is defined as the fraction of Si-O bonds occupying the SiC surface and is calculated from the XPS measurements using Equation (9):
Si-O   occupancy = XPS   peak   area   of   Si-O XPS   peak   area   of   Si-O   and   Si-C .
Figure 6 presents the Si 2p XPS spectra of SiC etched in the HFE-347mmy/O2/Ar plasma, as well as the Si-O occupancy determined from the spectra at various flow rates of HFE-347mmy/O2. As the O2 flow rate increased from 1 to 7 sccm (from right to left in Figure 6b), the variation in the Si-O occupancy was negligible. However, the Si-O occupancy greatly increased with an increase in the O2 flow rate from 7 to 9 sccm, implying that the etching of SiC is suppressed in the flow rate regime. The dramatic increase in the Si-O occupancy in this O2 flow rate regime played a major role in suppressing the SiC etch rate.

5. Conclusions

SiC etching in an HFE-347mmy/O2/Ar plasma was investigated at various flow rates of HFE-347mmy/O2. The etch rate of SiC increased, reached a maximum, and then decreased with an increase in the HFE-347mmy/O2 ratio. The etch rate behavior was elucidated in terms of factors either facilitating or suppressing the etching of SiC, i.e., the relative amounts of F and O radicals in favor of SiC etching and the thickness of the steady-state fluorocarbon film and Si-O occupancy against SiC etching.
When the flow rates of HFE-347mmy/O2 were varied from 3/7 to 9/1 sccm, the etch rate of SiC decreased because of a reduction in the amounts of F and O radicals, alongside an increase in the thickness of the steady-state fluorocarbon film. On the contrary, when the flow rates of HFE-347mmy/O2 were varied from 3/7 to 1/9 sccm, the etch rate of SiC decreased because the formation of SiO and SiO2 was dominant over SiC etching. Therefore, it is important to select appropriate flow rate conditions for effective SiC etching in the HFE-347mmy/O2/Ar plasma.
This study focused on the effect of varying discharge compositions on SiC etching in an HFE-347mmy/O2/Ar plasma. Future research may explore the systematic optimization of process parameters such as pressure, electrode temperature, and bias voltage to achieve higher etch rates and better surface quality.

Author Contributions

Conceptualization, C.-K.K.; methodology, C.-K.K.; software, S.Y. and E.S.; validation, C.-K.K.; formal analysis, S.Y., E.S. and C.-K.K.; investigation, H.C. and C.-K.K.; resources, C.-K.K.; data curation, C.-K.K.; writing—original draft preparation, C.-K.K.; writing—review and editing, C.-K.K.; visualization, S.Y. and E.S.; supervision, C.-K.K.; project administration, C.-K.K.; funding acquisition, C.-K.K. All authors have read and agreed to the published version of the manuscript.

Funding

This article was supported by the Korea Evaluation Institute of Industrial Technology grant funded by the Korean Government Ministry of Trade, Industry, and Energy (grant Nos. 20017456, RS-2022-00155706, 00267003, and RS-2023-00266039).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

Nomenclature

ggas phase
ssolid phase

References

  1. Pirnaci, M.D.; Spitaleri, L.; Tenaglia, D.; Perricelli, F.; Fragalà, M.E.; Bongiorno, C.; Gulino, A. Systematic characterization of plasma-etched trenches on 4H-SiC wafers. ACS Omega 2021, 6, 20667–20675. [Google Scholar] [CrossRef] [PubMed]
  2. Maboudian, R.; Carraro, C.; Senesky, D.G.; Roper, C.S. Advances in silicon carbide science and technology at the micro and nanoscales. J. Vac. Sci. Technol. A 2013, 31, 050805. [Google Scholar] [CrossRef]
  3. Dinh, T.; Phan, H.-P.; Kashaninejad, N.; Nguyen, T.-K.; Dao, D.V.; Nguyen, N.-T. An on-chip SiC MEMS device with integrated heating, sensing, and microfluidic cooling systems. Adv. Mater. Interfaces 2018, 5, 1800764. [Google Scholar] [CrossRef]
  4. Kumar, A.; Moradpour, M.; Losito, M.; Franke, W.-T.; Ramasamy, S.; Baccoli, R.; Gatto, G. Wide band gap devices and their application in power electronics. Energies 2022, 15, 9172. [Google Scholar] [CrossRef]
  5. Leitgeb, M.; Zellner, C.; Hufnagl, C.; Schneider, M.; Schwab, S.; Hutter, H.; Schmid, U. Stacked layers of different porosity in 4H SiC substrates applying a photoelectrochemical approach. J. Electrochem. Soc. 2017, 164, E337–E347. [Google Scholar] [CrossRef]
  6. Naderi, N.; Hashim, M.R. Effect of different current densities on optical properties of porous silicon carbide using photoelectrochemicaletching. Mater. Lett. 2012, 88, 65–67. [Google Scholar] [CrossRef]
  7. Lee, J.; Efremov, A.; Kwon, K.-H. On the relationships between plasma chemistry, etching kinetics and etching residues in CF4+C4F8+Ar and CF4+CH2F2+Ar plasmas with various CF4/C4F8 and CF4/CH2F2 mixing ratios. Vacuum 2018, 148, 214–223. [Google Scholar] [CrossRef]
  8. Xia, J.H.; Rusli; Choy, S.F.; Gopalakrishan, R.; Tin, C.C.; Yoon, S.F.; Ahn, J. CHF3–O2 reactive ion etching of 4H-SiC and the role of oxygen. Microelectron. Eng. 2006, 83, 381–386. [Google Scholar] [CrossRef]
  9. Jiang, L.; Cheung, R. Impact of Ar addition to inductively coupled plasma etching of SiC in SF6/O2. Microelectron. Eng. 2004, 73–74, 306–311. [Google Scholar] [CrossRef]
  10. Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M. High density plasma via hole etching in SiC. J. Vac. Sci. Technol. A 2001, 19, 1878–1881. [Google Scholar] [CrossRef]
  11. Racka-Szmidt, K.; Stonio, B.; Żelazko, J.; Filipiak, N.; Sochacki, M. A review: Inductively coupled plasma reactive ion etching of silicon carbide. Materials 2022, 15, 123. [Google Scholar] [CrossRef]
  12. Osipov, A.A.; Iankevich, G.A.; Speshilova, A.B.; Osipov, A.A.; Endiiarova, E.V.; Berezenko, V.I.; Tyurikova, I.V.; Tyurikov, K.S.; Alexandrov, S.E. High-temperature etching of SiC in SF6/O2 inductively coupled Plasma. Sci. Rep. 2020, 10, 19977. [Google Scholar] [CrossRef] [PubMed]
  13. Lee, J.; Efremov, A.; Kim, K.; Kwon, K.-H. Etching characteristics of SiC, SiO2, and Si in CF4/CH2F2/N2/Ar inductively coupled plasma: Effect of CF4/CH2F2 mixing ratio. Jpn. J. Appl. Phys. 2016, 55, 106201. [Google Scholar] [CrossRef]
  14. Post, G.B. Recent US State and federal drinking water guidelines for per- and polyfluoroalkyl substances. Environ. Toxicol. Chem. 2020, 40, 550–563. [Google Scholar] [CrossRef]
  15. Brunn, H.; Arnold, G.; Körner, W.; Rippen, G.; Steinhäuser, K.G.; Valentin, I. PFAS: Forever chemicals—Persistent, bioaccumulative and mobile. Reviewing the status and the need for their phase out and remediation of contaminated sites. Environ. Sci. Eur. 2023, 35, 20. [Google Scholar] [CrossRef]
  16. Cho, B.-O.; Hwang, S.-W.; Lee, G.-R.; Moon, S.H. Angular dependence of SiO2 etching in a fluorocarbon plasma. J. Vac. Sci. Technol. A 2000, 18, 2791–2798. [Google Scholar] [CrossRef]
  17. Lee, G.-R.; Hwang, S.-W.; Min, J.-H.; Moon, S.H. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma. J. Vac. Sci. Technol. A 2002, 20, 1808–1814. [Google Scholar] [CrossRef]
  18. Lee, S.; Oh, J.; Lee, K.; Sohn, H. Ultrahigh selective etching of Si3N4 films over SiO2 films for silicon nitride gate spacer etching. J. Vac. Sci. Technol. B 2010, 28, 131–137. [Google Scholar] [CrossRef]
  19. Lee, J.-K.; Lee, G.-R.; Min, J.-H.; Moon, S.H. Angular dependences of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma. J. Vac. Sci. Technol. A 2007, 25, 1395–1401. [Google Scholar] [CrossRef]
  20. Chatterjee, R.; Karecki, S.; Reif, R.; Vartanian, V.; Sparks, T. The use of unsaturated fluorocarbons for dielectric etch applications. J. Electrochem. Soc. 2002, 149, G276–G285. [Google Scholar] [CrossRef]
  21. Ryu, H.-K.; Lee, B.-S.; Park, S.-K.; Kim, I.-W.; Kim, C.-K. Effect of CH2F2 addition on a high aspect ratio contact hole etching in a C4F6/O2/Ar plasma. Electrochem. Solid-State Lett. 2003, 6, C126–C129. [Google Scholar] [CrossRef]
  22. Karecki, S.; Pruette, L.; Reif, R.; Sparks, T.; Beu, L.; Vartanian, V. Use of novel hydrofluorocarbon and iodofluorocarbon chemistries for a high aspect ratio via etch in a high density plasma etch tool. J. Electrochem. Soc. 1998, 145, 4305–4312. [Google Scholar] [CrossRef]
  23. Fracassi, F.; d’Agostino, R. Evaluation of trifluoroiodomethane as SiO2 etchant for global warming reduction. J. Vac. Sci. Technol. B 1998, 16, 1867–1872. [Google Scholar] [CrossRef]
  24. Chinzei, Y.; Feurprier, Y.; Ozawa, M.; Kikuchi, T.; Horioka, K.; Ichiki, T.; Horiike, Y. High aspect ratio SiO2 etching with high resist selectivity improved by addition of organosilane to tetrafluoroethyl trifluoromethyl ether. J. Vac. Sci. Technol. A 2000, 18, 158–165. [Google Scholar] [CrossRef]
  25. Morikawa, Y.; Chen, W.; Hayashi, T.; Uchida, T. Investigations of surface reactions in neutral loop discharge plasma for high-aspect-ratio SiO2 etching. Jpn. J. Appl. Phys. 2003, 42, 1429–1434. [Google Scholar] [CrossRef]
  26. Miyawaki, Y.; Shibata, E.; Kondo, Y.; Takeda, K.; Kondo, H.; Ishikawa, K.; Okamoto, H.; Sekine, M.; Hori, M. Etching enhancement followed by nitridation on low-k SiOCH film in Ar/C5F10O plasma. Jpn. J. Appl. Phys. 2013, 52, 020204. [Google Scholar] [CrossRef]
  27. You, S.; Lee, Y.J.; Chae, H.; Kim, C.-K. Plasma etching of SiO2 contact holes using hexafluoroisopropanol and C4F8. Coatings 2022, 12, 679. [Google Scholar] [CrossRef]
  28. You, S.; Sun, E.J.; Hwang, Y.; Kim, C.-K. Heptafluoroisopropyl methyl ether as a low global warming potential alternative for plasma etching of SiC. Korean J. Chem. Eng. 2024, 41, 1307–1310. [Google Scholar] [CrossRef]
  29. Kim, J.-H.; Park, J.-S.; Kim, C.-K. SiO2 etching in inductively coupled plasmas using heptafluoroisopropyl methyl ether and 1,1,2,2-tetrafluoroethyl 2,2,2-trifluoroethyl ether. Appl. Surf. Sci. 2020, 508, 144787. [Google Scholar] [CrossRef]
  30. Yih, P.H.; Saxena, V.; Steckl, A.J. A review of SiC reactive ion etching in fluorinated plasmas. Phys. Status Solidi B-Basic Res. 1997, 202, 605–642. [Google Scholar] [CrossRef]
  31. Ermolieff, A.; Marthon, S.; Bertin, F.; Pierre, F.; Daviet, J.F.; Peccoud, L. X-ray photoelectron spectroscopy studies of contamination and cleaning of surfaces exposed to a fluorocarbon plasma. J. Vac. Sci. Technol. A 1991, 9, 2900–2906. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of an inductively coupled plasma system for SiC etching.
Figure 1. Schematic diagram of an inductively coupled plasma system for SiC etching.
Materials 17 03917 g001
Figure 2. Schematic of the surface reaction mechanism for SiC etching in a plasma containing fluorinated gasses and oxygen. The numbers in parentheses represent the equation numbers given in the text.
Figure 2. Schematic of the surface reaction mechanism for SiC etching in a plasma containing fluorinated gasses and oxygen. The numbers in parentheses represent the equation numbers given in the text.
Materials 17 03917 g002
Figure 3. Etch rate of SiC in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2.
Figure 3. Etch rate of SiC in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2.
Materials 17 03917 g003
Figure 4. Optical emission intensity of F, CF2, and O peaks in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2.
Figure 4. Optical emission intensity of F, CF2, and O peaks in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2.
Materials 17 03917 g004
Figure 5. Thickness of the steady-state fluorocarbon films formed on the SiC surfaces in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2.
Figure 5. Thickness of the steady-state fluorocarbon films formed on the SiC surfaces in the HFE-347mmy/O2/Ar plasma at various flow rates of HFE-347mmy/O2.
Materials 17 03917 g005
Figure 6. (a) Si 2p XPS spectra of SiC etched in the HFE-347mmy/O2/Ar plasma and (b) Si-O occupancy at various flow rates of HFE-347mmy/O2. The thin lines in (a) denote the deconvolution of the spectra.
Figure 6. (a) Si 2p XPS spectra of SiC etched in the HFE-347mmy/O2/Ar plasma and (b) Si-O occupancy at various flow rates of HFE-347mmy/O2. The thin lines in (a) denote the deconvolution of the spectra.
Materials 17 03917 g006
Table 1. Typical properties of HFE-347mmy.
Table 1. Typical properties of HFE-347mmy.
NameHeptafluoroisopropyl Methyl Ether (HFE-347mmy)
Molecular formulaC4H3F7O
Structural formulaMaterials 17 03917 i001
Boiling point29 °C
Global warming potential363
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

You, S.; Sun, E.; Chae, H.; Kim, C.-K. Effect of Discharge Gas Composition on SiC Etching in an HFE-347mmy/O2/Ar Plasma. Materials 2024, 17, 3917. https://doi.org/10.3390/ma17163917

AMA Style

You S, Sun E, Chae H, Kim C-K. Effect of Discharge Gas Composition on SiC Etching in an HFE-347mmy/O2/Ar Plasma. Materials. 2024; 17(16):3917. https://doi.org/10.3390/ma17163917

Chicago/Turabian Style

You, Sanghyun, Eunjae Sun, Heeyeop Chae, and Chang-Koo Kim. 2024. "Effect of Discharge Gas Composition on SiC Etching in an HFE-347mmy/O2/Ar Plasma" Materials 17, no. 16: 3917. https://doi.org/10.3390/ma17163917

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop