Next Article in Journal
Low-Temperature Synthesis of Bi2S3 Hierarchical Microstructures via Co-Precipitation and Digestive Process in Aqueous Medium
Next Article in Special Issue
Enhancing the Machining Performance of Nomex Honeycomb Composites Using Rotary Ultrasonic Machining: A Finite Element Analysis Approach
Previous Article in Journal
Comparative Analysis of Crosslinking Methods and Their Impact on the Physicochemical Properties of SA/PVA Hydrogels
Previous Article in Special Issue
Ultrafast Process Characterization of Laser-Induced Damage in Fused Silica Using Pump-Probe Shadow Imaging Techniques
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Novel Probability Density Function of Pad Asperity by Wear Effect over Time in Chemical Mechanical Planarization

Graduated School of Mechanical Engineering, Pusan National University, Busan 46703, Republic of Korea
*
Author to whom correspondence should be addressed.
Materials 2024, 17(8), 1817; https://doi.org/10.3390/ma17081817
Submission received: 27 February 2024 / Revised: 28 March 2024 / Accepted: 11 April 2024 / Published: 15 April 2024
(This article belongs to the Special Issue Precision Machining and Micro-/Nano Manufacturing)

Abstract

:
Chemical mechanical planarization (CMP) reduces film thickness, eliminates step height, and achieves high levels of planarity in semiconductor manufacturing. However, research into its mechanisms is still in progress, and there are many issues to be resolved. To solve problems in CMP, it is necessary to understand the contact phenomenon that occurs at the pad–wafer interface, especially pad asperity. Moreover, understanding the non-uniform distribution of pad asperity, such as height and radius, is essential for predicting the material removal rate (MRR). In this study, based on the existing Greenwood–Williamson (GW) theory and probability density function (PDF), a modified mathematical model that includes changes in asperity distribution was developed and validated experimentally. The contact model proposed in this study included functions that calculated the time-dependent height and radius wear of the pad asperities. Specifically, the experimentally obtained values were compared with the values obtained by the model, and the comparison results were analyzed. Thereby, it was found that the contact model and MRR model considering the change in asperity wear and distribution due to CMP proposed in this study are in better agreement with the experimental results than the existing model, which shows that the MRR can be predicted by a mathematical model using the change in asperity distribution.

1. Introduction

Semiconductor processing technology has led to decreased transistor sizes and an increased number of integrated transistors, resulting in highly integrated semiconductor devices with high performance [1,2]. To integrate more devices, a multilevel interconnection structure is essential, and a surface planarization process is required for the stable deposition of the layers [3]. Chemical mechanical planarization (CMP) is a semiconductor process that enhances the quality of integrated circuits. Global planarization can solve the problem in the lithography process, wherein the depth of focus is less than the step height, and aid the development and mass production of next-generation devices [4,5]. Figure 1 depicts a schematic representation of a typical CMP process and the 3D topography of the pad surface where contact occurs with the wafer during CMP.
The pad, being a consumable, plays a significant role in mechanical removal during the CMP process. Controlling the mechanical properties and topographical conditions of the pad allows for the regulation of the CMP results [6,7,8]. Therefore, in-depth studies on the pad surface, such as contact area ratio [9,10], thermal characteristics [11,12,13], shape control [10,14], etc., are necessary.
Lee proposed a contact model for pad–wafer interfaces which included the contact deformation of asperities and mathematical models of participating particles [15]. Kim derived a physical model based on the Greenwood—Williamson (GW) contact theory, which divides the contact mode between elastic and plastic components and mathematically calculates the load transferred to abrasive particles, accounting for the contact between the pad and wafer to understand an oxidative MRR mechanism [16].
In this paper, a novel contact model considering the wear effect of the pad asperities is proposed. The improved model is validated by the experimental data. Additionally, the predictive performances of the previous analysis model are also compared with those of the proposed model in order to further validate the effectiveness of the developed model.

2. Theorectical Background

2.1. Contact Theory in CMP

Contact is the most basic element and an indispensable part in the material removal of CMP. In other words, the majority of physical actions during the process are rooted in contact interactions, such as the contact between the pad bulk and the wafer, the contact between pad asperities and the micro surface, and interactions with slurry particles. Therefore, an understanding of contact is important for analyzing the material removal mechanism. Over the decades, contact theory in CMP has been modified and developed based on the Hertzian and Greenwood–Williamson theories. Greenwood and Williamson [17] and Vasilev [18] presented a contact theory wherein the random asperity heights for a surface shape were expressed with asperities of irregular sizes and heights, and derived the material removal rate for the upper and lower areas of the pattern. In this study, we aimed to construct a model that concretely defines the random distribution of pad asperities within the pad and the associated contact phenomena, building upon existing contact theories.

2.1.1. Contact: PDF of Pad Asperity

The CMP pad macroscopically has a constant shape having concentric grooves. However, at a microscopic level, the pad surface has micro-scale pad asperities distributed. In addition, these pad asperities do not have a certain shape and size and exist randomly. In the above-mentioned CMP contact models, a factor that greatly affects the results is this random asperity distribution. This is because variations in the shape or size of the pad asperities can result in different polishing results when they come into contact with the same wafer surface [19,20,21,22]. Furthermore, the conditioning process used to maintain the repeatability of polishing in the CMP process ultimately aims to control the distribution of asperities to manage the contact [23]. Therefore, it is necessary to assume and express the asperity distribution of the pad in more detail. The contact area of different asperities with the wafer surface was approximated as a circle shape with an area equal to each area. The overall shape of the pad asperity is assumed to be conical with a spherical top. These approximations are appropriate because the contact model to be presented does not consider the variety of contact geometries of asperities. Prior studies have approximated the height distribution of asperities to follow an exponential distribution, while assuming that the curvature radius of the asperities approximates a log-normal distribution, and the density function according to the height and radius is as follows [17,18]:
ϕ ( z ) = 1 σ z 2 π · e x p z 2 2 ( σ z ) 2 z 0
ϕ ( R ) = 1 R σ R 2 π · e x p ( ln R μ R ) 2 2 ( σ R ) 2 R 0
where z and σ z denote the asperity height and the deviation in asperity height, respectively, and where R, σ R , and μ R denote the asperity radius, the deviation in asperity radius, and the mean value of radius, respectively.

2.1.2. Contact: Wear Affect in CMP

As shown in Figure 1, the CMP process is achieved through the relative rotation between the target wafer material and the CMP pad. The relative rotation following contact due to applied pressure generates the polishing of the wafer surface, but the same applies to the pad asperities. Many experimental observations have shown that the polish rate will drop dramatically if the pad is not conditioned [6,23,24]. Some have shown a connection between pad surface asperity height distribution and removal rate decay [25,26]. Oliver et al. [25] showed that the average asperity height in terms of roughness is continuously reduced with CMP time. Lawing’s experimental measurements [26] with the interferometry of the pad surface topography showed that the pad surface–height distribution changes in that a second peak occurs to the right of the existing distribution during the CMP process without conditioning. The second peak indicated a change in the tall asperities due to contact, and this point was described as differing from the height distribution measured through a conventional non-contact pad. However, the changes in pad asperities due to the polishing action are not limited to their height. The wear of the asperities from the relative rotation results not only in a reduction in height but also in deformation from compression. Jeong et al. [11] described that the pad asperities undergo variations not only in height but also in size and angle depending on CMP, conditioning, and process temperature, and these effects influence the MRR results. Such changes become more significant with the optimization of process conditions, making it essential to consider them in the PDF of asperities.

2.2. Multivariate Gaussian Normal Distribution (MVN) Theory

The multivariate normal distribution (MVN) is a fundamental concept in statistics and probability theory used to model and analyze the relationships among multiple variables. It serves as a mathematical model for the probability distribution that arises when several random variables are jointly normally distributed, and it is widely applied in various fields [27,28].
The key characteristic of the multivariate normal distribution is its representation of data in a multidimensional space. In this study, we used bivariate normal distribution theory, which uses two variables among multivariate normal distributions. In general, elements composing the bivariate normal distribution function include variables ( x ), standard deviations ( σ ), covariances ( C o v ( x ) ), and mean values ( μ ). Figure 2 shows a schematic diagram of this theory, illustrating the correlation between each component and the bivariate normal distribution. The two variables each follow a univariate Gaussian normal distribution, and through the derivation process, these distributions are extended to a bivariate normal distribution. The mean values of each variable can shift the overall distribution, and the standard deviations can adjust the variance of the overall distribution. Finally, the covariance between the two variables reflects the correlation between the two univariate distributions, and it alters the shape of the bivariate distribution accordingly. The detailed derivation process and explanation are described in Section 3.2.
The theoretical background of the multivariate normal distribution is crucial for understanding and interpreting the statistical properties of multivariate data, making it applicable in multidimensional statistical analysis, pattern recognition, machine learning, data mining, and other diverse fields. It provides a foundational framework to comprehend and work with the statistical characteristics of multivariate data.

3. Model Development

3.1. Pad Asperity Evolution According to CMP Time

As mentioned above, since the asperities of the CMP pad have very random height distributions or radius distributions, it is important to express them as probability distributions. In addition, these distributions are changed by contact according to CMP time. Therefore, in this section, the PDF of asperities is proposed considering time as well as height and radius.
Figure 3 and Figure 4 depict the results of the pad surface properties after CMP an 8 inch oxide wafer. In order to detect the asperity abrasion due to pad–wafer contact, blanket wafers were polished in the absence of conditioning in 60 s steps up to 600 s of CMP time. Each graph illustrates the changes in the height distribution and radius distribution of asperities over CMP time, and the analysis was conducted using a confocal microscope and micro-CT measurements. In the case of changes in asperity height deviation as shown in Figure 3, wear occurs in asperities that can participate in CMP at heights greater than the effective asperity height (d). As a result, the asperity height deviation decreases, accelerating with applied pressure and CMP time. The overall decrease in asperity height reduces the ability of the asperity to polish the wafer, so it is generally managed through a conditioning process. The trend in the radius distribution in Figure 4 is similar. The height reduction due to relative rotational friction, coupled with the compression phenomenon, leads to an increase in the radius of the contacting asperities. In reality, the contact area of asperities is not circular but irregular in shape, but in this study, we calculated the radius of an equivalent circle with the same area as each shape. The analysis focuses on the variation in pad surface roughness over time at different pressures and times, and a fitting function has been determined for this analysis. Overall, the mean radius of the pad surface asperities due to wear increases over time, resulting in a smoother surface, and this trend is accelerated with an increase in pressure and time.
In addition, one of the asperity properties that has a great influence on CMP is the number of contacts. The number of contact points increases the probability of material removal due to the characteristics of the CMP that is relatively rotating. Figure 5 shows the result of simulating the trajectory of the contact point on the pad passing over the wafer surface during one unit of time. After calculating the relative rotation speed of the platen and head for one cycle of the contact point, MatLab coding was performed to calculate the total sliding distance of the contact point for 1 min. It can be seen that when it has multiple contact points, unlike a small number of contact points, the material removal trajectory during the unit time is large. As shown in Table 1, if CMP is continued without conditioning, there is no significant change in the number of contacts in the initial CMP, but the number of contacts on the pad surface decreases sharply the longer the CMP lasts, and the CMP efficiency at this time decreases significantly.
Therefore, it is necessary to apply these results to the model because it is possible to secure the CMP stability of the wafer by performing an optimal conditioning process and pad surface management if the changes in height, radius, and number caused by pad wear due to the above CMP are well understood. The aforementioned results were fitted using a regression model and the resulting empirical equation is as follows:
σ z , w e a r = σ z ( 0 ) + exp ( 1 τ 1 ) + β 1 · exp ( t τ 2 ) γ 1
μ R = ( β 2 · p + γ 2 ) t + β 3 · exp ( β 4 · p )
where σ z ( 0 ) , τ 1 , τ 2 , β 1 , and γ 1 denote the initial deviation in asperity height and the coefficient factors related to the fitting equation of asperity deviation, and the values are 4.512, 158.01 e 1.195 p , 21.5, 1.411, and 2.405, respectively. Further, β 2 , β 3 , β 4 , and γ 2 denote the coefficient factors related to the fitting equation of mean radius, and the values are 0.28, 5.45, 0.18, and 0.621, respectively. In the case of the pad protrusion radius, it was observed that the variation in deviation with respect to the conditions was not significant, and the overall distribution was largely dependent on changes in the mean value. Therefore, the deviation in the radius was kept fixed at its initial value in the experimental formula. The parameter coefficients of the above experimental formula can vary significantly depending on the specific pad used in the experiment. Thus, it is essential to conduct an analysis of the pad used before conducting the experiment. By substituting the experimental values into Equations (13) and (14), the asperity distribution over CMP time obtained from the experiments can be determined. Additionally, these data are utilized to derive an empirical model equation through comparison and analysis with the mathematical model equation for the time-dependent asperity distribution outlined in Section 3.2.

3.2. Novel PDF Model with MVN Theory

In order to develop a novel PDF model for the evolution of surface roughness that incorporates the consideration of elapsed time, it is imperative to establish a definition for the temporal rate of change in surface roughness. Borucki considered the wear rate of pad asperity during the process using the Archard equation [29,30]. The definition of the rate of change in the height of surface roughness has been established, and the formulation for the rate of change in the radius of roughness, which is considered as an additional factor in this study, adheres to the same equation and is as follows:
d z d t = 4 c a E 3 π R ( z d ( t ) ) 1 2 , d R d t = 4 c b E z d ( t ) 3 π ( R ) 1 2
where E denotes the elastic modulus of asperity and c a and c b are parameters that are proportional to the sliding velocity of the asperity relative to the wafer. To obtain the equation for the material removal rate using the GW contact theory considering the variation in asperity height distribution, the variation in asperity radius distribution, and the asperity wear rate, a novel PDF is required. Therefore, to derive the overall density function of asperities over time considering the time-dependent changes in height and radius, the two aforementioned partial differential equations for height and radius need to be rearranged as Equations (6) and (7).
ϕ ( z , t ) t 4 c a E 3 π R · z z d ( t ) · ϕ ( z , t ) = 4 c a E 3 π R · 1 2 z d ( t ) ϕ ( z , t )
ϕ ( R , t ) t 4 c b E 3 π z d ( t ) · R 1 R · ϕ ( R , t ) = 4 c b E z d ( t ) 3 π · 1 2 R 3 ϕ ( R , t )
To solve these partial differential equations, z, R, and t are expressed as a function of the new variable s, and similarly, ϕ can also be expressed as a function of s. Furthermore, ϕ , z, R, and t can be expressed as three ordinary differential equations. Equations (8) and (9) represent ordinary differential equations for calculating the partial differential equation of the asperity height, and the radius equation is also calculated through the same process.
d ϕ d s = d z d s ϕ z + d t d s ϕ t , d ϕ d s = 4 c a E 3 π R · 1 2 z d ( t ) ϕ ( z , t )
d z d s = 4 c a E 3 π R z d ( t ) , d t d s = 1
The initial values are used to solve Equations (8) and (9). The assumptions that ϕ ( s ) = ϕ 0 ( z 0 ) and t = 0 considered the asperity height distribution before wear as a PDF used in the GW theory. Solving Equations (8) and (9), we obtained s = t and z 0 . Furthermore, R 0 was obtained through the same calculation process for the asperity radius, as presented in Equation (10).
z 0 = z + w z t z d ( t ) + 0.25 ( w z t ) 2 , R 0 = ( R 3 + 3 w R t R 3 + 9 4 ( w R t ) 2 ) 1 3
where z 0 and R 0 denote the initial state before wear occurs, and w z and w R denote substituted parameters with values of w z = ( 4 c a E ) / ( 3 π R ) and w R = ( 4 c b E z d ( t ) ) / ( 3 π ) . Finally, by mathematically defining and solving each of these equations, the density function of asperities over CMP time can be expressed as follows:
ϕ ( z , t ) = 1 + w z t 2 z d ( t ) · ϕ 0 ( z 0 ) , ϕ ( R , t ) = 1 w R t 2 R 3 · ϕ 0 ( R 0 )
Figure 6 and Figure 7 show PDF graphs considering height and radius wear, respectively, obtained through a mathematical solution process. In the case of height PDF, the height of the overall tall asperities decreases due to CMP wear, and this phenomenon gradually increases. On the other hand, in the case of radius PDF, the mean radius increased with CMP time. In both cases, it was assumed that there was no stochastic error, as the sum of the functions remained at 1 under each CMP condition. The reason for this assumption is that in a PDF, the sum of the probabilities for all variable values must be 1. However, there were conditions where the radius range set in the radius function was exceeded, but this was a setting problem and not a problem with the function itself. Furthermore, since the trends of both functions closely matched the experimentally analyzed results, their effectiveness was deemed valid.
In this study, two variables, z and R, follow a normal distribution and log-normal distribution with mean values μ z and μ R and standard deviations σ z and σ R , respectively. The variable R used the logarithmic form l n ( R ) when constructing matrices and functions. The correlation between these two variables is denoted as Σ . The inherent irregularities in asperity height and radius do not allow for a direct linear relationship. Therefore, since z and l n ( R ) were assumed to be independent variables with a correlation of 0, C o v ( z , l n ( R ) ) , a component in the Σ matrix, was also assumed to be 0. The probability vector variable x represents the rate of change of asperities over time obtained through partial differential equations, and its components consist of z and l n ( R ) . The expressions of the covariance ( Σ ) and mean ( μ ) matrices for variable x are as follows:
x = z + w z t z d ( t ) + 0.25 ( w z t ) 2 ln ( R 3 + 3 w R t R 3 + 9 4 ( w R t ) 2 ) 1 3
Σ = σ z 2 C o v ( z , ln ( R ) ) C o v ( z , ln ( R ) ) σ ln ( R ) 2 , μ = 0 ln ( ( 0.28 p + 0.621 ) t + 5.45 exp ( 0.18 p ) )
The equation for the distribution of asperities considering the time-dependent changes in the height and radius of the asperities is as follows:
ϕ ( x , t ) = 1 R 2 π det ( Σ ) 1 w R t 2 R 3 1 + w z t 2 z d ( t ) exp 1 2 ( x μ ) T Σ 1 ( x μ )
where d ( t ) in the asperity density function denotes the effective asperity height between the wafer and the CMP pad. The effective asperity height varies over time to maintain load balance. Therefore, it is preferable to express d ( t ) as a function of time, and it can be mathematically derived since it cannot be obtained through measurements. This derivation is based on the force equilibrium equation, which asserts that the sum of contact loads on the wafer and the applied loads on the polishing head are equal. Figure 8 shows a graph for each distribution function over time. Unlike the case where only height wear or only radius wear is considered, the novel PDF proposed in this study can reflect the shape changes of asperities and their distribution in more detail. Therefore, we proposed a physical model that can predict material removal based on this novel function.

3.3. Material Removal Rate Model

In order to predict material removal in the context of the wafer, it is necessary to establish a novel physical model that takes into account the evolution of pad asperity distribution and the contact mode of these asperities with respect to processing time. Applying the models and functions outlined in Section 2 and Section 3, the equations for contact load, contact area, and the number of contacted asperities were redefined considering the changes in the distribution of pad asperity based on the process duration using the GW contact theory, as shown in Equations (3) and (4). This set of equations can be solved numerically with the following procedure:
  • Initialize ϕ ( z , R , t ) to ϕ 0 ( z , R ) and d ( t ) to d ( 0 ) ;
  • Solve for the load-balancing separation d ( t ) using balance equation of force as in Equations (15) and (16);
  • Calculate the actual contact-force F e , e p , p , the actual contact area A e , e p , p , and the number of contact asperities n e , e p , p as in Equations (17)–(19);
  • Integrate the evolution equation for the PDF for one time step;
  • Calculate the material removal rate as in Equation (20);
  • Increment the time and repeat from step 2 until complete.
In detail, when the wafer comes into contact with the pad, the sum of the contact load due to the contact modes of the asperities is equivalent to the load applied to a specific region of the wafer through the head of the CMP machine. This equivalence allows the application of the force equilibrium equation, and it can be expressed as Equations (15) and (16). In addition, the pad asperity is divided into three contact modes, including elastic (e), elasto–plastic transition region ( e p ), and plastic (p), depending on the amount of indentation, as shown in Equations (17)–(19) [16,31,32,33].
F T o t a l = F C , A ( t )
F C , A ( t ) = F e ( t ) + F e p ( t ) + F p ( t )
F C , A = F e : 4 E N ( t ) 3 0 d ( t ) d ( t ) + δ Y R · ( z d ( t ) ) 3 2 · ϕ ( z , R , t ) d z d R 0 δ δ Y F e p : π N ( t ) 0 d ( t ) + δ Y d ( t ) + δ P R ( z d ( t ) ) H 2 H ln 54 δ Y z d ( t ) 3 ln 54 · 1 + 3 z d ( t ) δ Y 1 53 2 2 z d ( t ) δ Y 1 53 3 · ϕ ( z , R , t ) d z d R δ Y δ δ P F p : 2 π H N ( t ) 0 d ( t ) + δ P R ( z d ( t ) ) · ϕ ( z , R , t ) d z d R δ P δ
A C , A = A e : π N ( t ) 0 d ( t ) d ( t ) + δ Y R ( z d ( t ) ) · ϕ ( z , R , t ) d z d R 0 δ δ Y A e p : π N ( t ) 0 d ( t ) + δ Y d ( t ) + δ P R ( z d ( t ) ) · 1 + 3 z d ( t ) δ Y 1 53 2 2 z d ( t ) δ Y 1 53 3 · ϕ ( z , R , t ) d z d R δ Y δ δ P A p : 2 π N ( t ) 0 d ( t ) + δ P R ( z d ( t ) ) · ϕ ( z , R , t ) d z d R δ P δ
n C , A = n e : N ( t ) 0 d ( t ) d ( t ) + δ Y ϕ ( z , R , t ) d z d R 0 δ δ Y n e p : N ( t ) 0 d ( t ) + δ Y d ( t ) + δ P ϕ ( z , R , t ) d z d R δ Y δ δ P n p : N ( t ) 0 d ( t ) + δ P ϕ ( z , R , t ) d z d R δ P δ
where F T o t a l , H, and the subscript C , A denote the total force applied to the pad by machine, the hardness of asperity, and the contacted asperity, respectively. δ Y is the initial yield indentation amount, which is assumed to be δ Y = π 2 R H 2 / 16 E 2 , and δ P is the approach of distant points at the onset of fully plastic asperity deformation, which is assumed to be δ P = 54 δ Y [16]. Through the calculation process of the above equations, the equation for the effective asperity height d ( t ) can be derived. By utilizing this equation to solve the integral terms in the existing contact load equation, each contact load expression can be represented as a function of the total load applied to the pad by the head ( F T o t a l ). For the equation of material removal rate, the Preston equation ( M R R = k w P V ) is generally used as the governing equation [34], where k p , P, and V denote the Preston constant, nominal pressure, and the relative rotational speed between the wafer and pad, respectively.
M R R ( t ) = k p V A n o r m · F e ( t ) n e ( t ) A e ( t ) + F e p ( t ) n e p ( t ) A p ( t ) + F p ( t ) n p ( t ) A p ( t )
In this study, the assumed elastic–plastic transition region of contact F e p , A e p and the asperity distribution equation ( ϕ ( z , R , t ) ) require numerical analysis due to the complexity of the derivations.

4. Results and Discussion

4.1. Experimental Condition for CMP

A colloidal silica-based CMP slurry was prepared for this experiment. A single-platen CMP machine (POLI-500 polisher, GnP Technology, Busan, Republic of Korea) was prepared for the experiments. SiO2 wafers with a diameter of 200 mm were prepared. The slurry flow rate was 150 mL/min, and pad type was IC1000. The pad mechanical properties of IC1000 were obtained from [35,36]. Pressures of 2 and 5 psi were applied and the table and carrier velocities were set to 93 rpm and 87 rpm. No conditioning between CMP processes was performed, as the focus was specifically on observing the impact of pad wear on the CMP efficiency.

4.2. Comparison of CMP Result and Model

To test the reliability of the material removal rate model derived using the aforementioned mathematical modeling process, its accuracy in predicting the result of the actual CMP process must be analyzed. As a comparative group to verify the consistency of the model, a model considering only the height wear of the pad asperities was applied. Therefore, to verify the consistency of the model proposed in this study, an oxide CMP experiment was conducted to compare the MRR results of the simulations with that of the experimental data. The proposed model considers multiple process elements that affect the polishing in the derivation process, based on the geometrical characteristics of the pad asperity.
Figure 9 shows the normalized MRR result over pressure and CMP time. The initial MRR under a pressure condition of 2 psi was used as the reference for normalization. In each pressure condition, the MRR decreases with the CMP time. This is because conditioning between CMP processes does not take place, leading to the sustained wear of the pad asperity without recovery. However, in actual CMP (solid lines in Figure 9), the MRR does not show a pronounced decrease initially. Instead, it either increases or remains stable. Subsequently, as the CMP time continues and passes a certain duration, the MRR decreases rapidly. This means that the conditioning process is not necessary at every moment. Since the conditioning process ultimately consumes the life of the pad, it needs to be applied appropriately according to the condition of the asperities and the MRR results.
Figure 10 shows the contact force based on Equation (17) with respect to the indentation depth and radius of a single asperity. In the existing model that considers only the wear of the height distribution, the continuous reduction in contact force of a single asperity occurs because the asperity radius and the number of contacts are fixed. This results in a sustained decrease in material removal rate, as depicted in the graph with a circle marker in Figure 9. However, in the novel model that takes into account changes in the asperity radius distribution and contact number, the variation in contact force of a single asperity due to wear progresses differently. In Figure 10(left), The difference in contact force according to the contact radius is important when the indentation depth of the asperity is large. Typically, this phenomenon is similar to the initial state of the unworn asperities of a conditioned pad. These tall asperities not only decrease in height through CMP, as shown in Figure 6, Figure 7, and Figure 10(right), but also increase in radius. Therefore, the variation in contact force for a single asperity during CMP is as follows. During initial CMP, the asperities decrease in height due to contact wear, resulting in a decrease in contact force. However, the increase in radius due to wear compensates for the decrease in contact force. This effect is dominant in the high-indentation-depth region, and this phenomenon can also be confirmed by the large difference in contact force according to radius within the graph. However, if CMP continues without conditioning, both the overall number of contact asperities and their indentation depth decrease sharply. In this region, the effect of asperity radius on contact force is low and insignificant, as evident from the results of contact force according to radius in the graph’s low-indentation-depth region. These results impact the MRR variation, and they were confirmed in Figure 9.
In this contact model, changes in height and radius due to wear were additionally considered in the existing asperity PDF. In addition, the number of asperity contacts in a specific area was also reflected. This can explain the changes in material removal rate at low load and initial CMP compared to the existing model when compared to actual experimental results. Ultimately, by using this model, it is believed that the direction of asperity shape and distribution management can be studied and used for the optimal conditioning process related to pad life.

5. Conclusions

In this study, a pad–wafer contact model in the CMP process was proposed and experimentally verified. This contact model was also used to predict the material removal rate of SiO2 CMP. In the existing model, only the height of the PDF of the asperity was considered. In the proposed novel PDF, the radius distribution was additionally considered to sufficiently reflect the randomness of the pad asperities, and then a joint density function was developed by applying MVN theory. The wear of the height and radius of the asperity over CMP time was considered, which also affected the range of contact mode of a single asperity.
Therefore, the proposed asperity contact model better predicted the MRR changes during the initial and continuous CMP time course than existing model. In the initial stages of CMP, the actual MRR did not decrease rapidly despite the wear of the rough pad surface, and the reason for this was identified through a graph of the contact force according to the change in asperity shape over initial time. If wear due to CMP continues, the MRR rapidly decreases due to a decrease in overall height, an increase in radius, and a decrease in contact number. Therefore, the advantage of this research model over existing models is that it can predict this phenomenon. This contact model is expected to be used in various ways to predict changes in MRR from wafer to wafer during CMP and to carry out an appropriate conditioning process.

Author Contributions

Conceptualization, S.J. (Seonho Jeong) and Y.S.; Software, J.J. and S.J. (Seunghun Jeong); Validation, S.J. (Seonho Jeong) and Y.S.; Investigation, S.J. (Seonho Jeong), J.J. and S.J. (Seunghun Jeong); Writing—original draft, S.J. (Seonho Jeong); Supervision, H.J.; Project administration, H.J. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest.

Abbreviations

The following abbreviations are used in this manuscript:
CMPChemical mechanical planarization
MVNMultivariate normal distribution
GWGreenwood–Williamson
MRRMaterial removal rate

References

  1. Zantye, P.B.; Kumar, A.; Sikder, A.K. Chemical mechanical planarization for microelectronics applications. Mater. Sci. Eng. R Rep. 2004, 45, 89–220. [Google Scholar] [CrossRef]
  2. Steigerwald, J.M.; Shyam, P.M.; Ronald, J.G. Chemical Mechanical Planarization of Microelectronic Materials; John Wiley and Sons: Hoboken, NJ, USA, 1997. [Google Scholar]
  3. Liu, R.; Pai, C.; Martinez, E. Interconnect Technology Trend for Microelectronics. Solid State Electron. 1999, 43, 1003–1009. [Google Scholar] [CrossRef]
  4. Seo, J.H. A Review on Chemical and Mechanical Phenomena at the Wafer Interface during Chemical Mechanical Planarization. J. Mater. Res. 2021, 36, 235–257. [Google Scholar] [CrossRef]
  5. Luo, J.; Dornfeld, D.A. Material removal mechanism in chemical mechanical polishing:theory and modeling. IEEE Trans. Semicond. Manuf. 2001, 14, 112–133. [Google Scholar]
  6. Shi, H.; Ring, T.A. CMP pad wear and polish-rate decay modeled by asperity population balance with fluid effect. Microelectron. Eng. 2010, 87, 2368–2375. [Google Scholar] [CrossRef]
  7. Achuthan, K.; Curry, J.; Lacy, M.; Campbell, D.; Babu, S.V. Investigation of pad deformation and conditioning during the CMP of silicon dioxide films. J. Electron. Mater. 1996, 25, 1628–1632. [Google Scholar] [CrossRef]
  8. Khanna, A.J.; Yamamura, M.; Kakireddy, V.R.; Chockalingam, A.; Jawali, P.; Kenchappa, N.B.; Hariharan, V.; Redfield, D.; Bajaj, R. Investigation of the Impact of Pad Surface Texture from Different Pad Conditioners on the CMP Performance. ECS J. Solid State Sci. Technol. 2020, 9, 064011. [Google Scholar] [CrossRef]
  9. Wang, L.; Zhou, P.; Yan, Y.; Guo, D. Modeling the microscale contact status in chemical mechanical polishing process. Int. J. Mech. Sci. 2022, 230, 107559. [Google Scholar] [CrossRef]
  10. McGrath, J.; Davis, C. Polishing pad surface characterisation in chemical mechanical planarisation. J. Mater. Process. Technol. 2004, 153, 666–673. [Google Scholar] [CrossRef]
  11. Jeong, S.; Jeong, K.; Choi, J.; Jeong, H. Analysis of correlation between pad temperature and asperity angle in chemical mechanical planarization. Precis. Eng. 2021, 20, 1507. [Google Scholar] [CrossRef]
  12. Kim, N.; Seo, Y.; Lee, W. Temperature effects of pad conditioning process on oxide CMP: Polishing pad, slurry characteristics, and surface reactions. Microelectron. Eng. 2006, 83, 362–370. [Google Scholar] [CrossRef]
  13. Yang, J.; Oh, D.; Kim, H.; Kim, T. Investigation on Surface Hardening of Polyurethane Pads during Chemical Mechanical Polishing (CMP). J. Electron. Mater. 2010, 39, 338–346. [Google Scholar] [CrossRef]
  14. Cho, H.; Lee, T.; Kim, D.; Kim, H. Kinematic Prediction and Experimental Demonstration of Conditioning Process for Controlling the Profile Shape of a Chemical Mechanical Polishing Pad. Appl. Sci. 2021, 11, 4358. [Google Scholar] [CrossRef]
  15. Lee, H. Semi-empirical Material Removal Model with Modified Real Contact Area for CMP. Int. J. Precis. Eng. Manuf. 2019, 20, 1325–1332. [Google Scholar] [CrossRef]
  16. Kim, S.; Saka, N.; Chun, J. The Role of Pad Topography in Chemical-Mechanical Polishing. IEEE Trans. Semicond. Manuf. 2014, 27, 431–442. [Google Scholar] [CrossRef]
  17. Greenwood, J.; Williamson, J. Contact of Nominally Flat Surfaces. Proc. R. Soc. Lond. Ser. A-Contain. Pap. Math. Phys. Character 1966, 295, 300–319. [Google Scholar]
  18. Vasilev, B.; Bott, S.; Rzehak, R.; Kücher, P.; Bartha, J.W. A feature scale Greenwood–Williamson model predicting pattern-size effects in CMP. Microelectron. Eng. 2012, 91, 159–166. [Google Scholar] [CrossRef]
  19. Ali, I.; Roy, S. Pad Conditioning in Interlayer Dielectric CMP. Solid State Technol. 1997, 40, 185–191. [Google Scholar]
  20. Quoc Huy, L.N.; Lin, C.-Y.; Chen, C.-C.A. Analyzing the effects of pad asperity on chemical mechanical polishing of copper thin film wafer. Jpn. J. Appl. Phys. 2022, 61, 071005. [Google Scholar] [CrossRef]
  21. Qinzhi, X.; Lan, C. A Feature-Scale Greenwood–Williamson Model for Metal Chemical Mechanical Planarization. J. Electron. Mater. 2013, 42, 2630–2640. [Google Scholar]
  22. Changxue, W.; Sherman, P.; Chandra, A. A stochastic model for the effects of pad surface topography evolution on material removal rate decay in chemical-mechanical planarization. IEEE Trans. Semicond. Manuf. 2005, 18, 695–708. [Google Scholar]
  23. Elmufdi, C.L.; Muldowney, G.P. The Impact of Diamond Conditioning on Surface Contact in CMP Pads. Mater. Res. Soc. Symp. Proc. 2007, 991, 1–6. [Google Scholar] [CrossRef]
  24. Tsai, M.; Chen, S.; Liao, Y.; Sung, J. Novel diamond conditioner dressing characteristics of CMP polishing pad. Int. J. Mach. Tools Manuf. 2009, 49, 722–729. [Google Scholar] [CrossRef]
  25. Oliver, M.R. CMP pad surface roughness and CMP removal rate. Elec. Soc. 2000, 26, 77–83. [Google Scholar]
  26. Lawing, S. Pad Conditioning and Pad Surface Characterization in Oxide Chemical Mechanical Polishing. Mater. Res. Soc. Symp. Proc. 2011, 732, 142–149. [Google Scholar] [CrossRef]
  27. Wang, J. An Intuitive Tutorial to Gaussian Process Regression. Comput. Sci. Eng. 2023, 25, 8469–8474. [Google Scholar] [CrossRef]
  28. Ghurye, S.G.; Olkin, I. A characterization of the multivariate normal distribution. Ann. Math. Stat. 1962, 33, 533–541. [Google Scholar] [CrossRef]
  29. Borucki, L. Mathematical modeling of polish-rate decay in chemical-mechanical polishing. J. Eng. Math. 2002, 43, 105–114. [Google Scholar] [CrossRef]
  30. Kasai, T.; Bhushan, B. Physics and tribology of chemical mechanical planarization. J. Phys. Condens. Matter. 2008, 20, 225011. [Google Scholar] [CrossRef]
  31. Tsai, H.J.; Jeng, Y.R.; Huang, P.Y. An improved model considering elastic–plastic contact and partial hydrodynamic lubrication for chemical mechanical polishing. Proc. Inst. Mech. Eng. J. 2006, 83, 362–370. [Google Scholar] [CrossRef]
  32. Chen, X.; Zhao, Y.; Wang, Y. Modeling the effects of particle deformation in chemical mechanical polishing. Appl. Surf. Sci. 2012, 258, 8469–8474. [Google Scholar] [CrossRef]
  33. Zhao, Y.; Maietta, D.M.; Chang, L. An Asperity Micro-Contact Model Incorporating the Transition from Elastic Deformation to Fully Plastic Flow. J. Tribol. 2000, 122, 86–93. [Google Scholar] [CrossRef]
  34. Castillo-Mejia, D.; Beaudoin, S. A Locally Relevant Prestonian Model for Wafer Polishing. J. Electrochem. Soc. 2003, 150, G96–G102. [Google Scholar] [CrossRef]
  35. Kim, S.; Saka, N.; Chun, J. The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing. Procedia CIRP 2014, 14, 42–47. [Google Scholar] [CrossRef]
  36. Stein, D.; Hetherington, D.; Dugger, M.; Stout, T. Optical Interferometry for Surface Measurements of CMP Pads. J. Electron. Mater. 1996, 25, 1623–1627. [Google Scholar] [CrossRef]
Figure 1. Schematic of the CMP process and 3D topography of the pad surface through micro-CT analysis.
Figure 1. Schematic of the CMP process and 3D topography of the pad surface through micro-CT analysis.
Materials 17 01817 g001
Figure 2. The schematic of the bivariate Gaussian normal distribution theory (one version of the multivariate Gaussian normal distribution) and distribution tendency by component ( x : variable matrix, μ : mean value, σ : deviation, C o v ( x ) : covariance) of equation.
Figure 2. The schematic of the bivariate Gaussian normal distribution theory (one version of the multivariate Gaussian normal distribution) and distribution tendency by component ( x : variable matrix, μ : mean value, σ : deviation, C o v ( x ) : covariance) of equation.
Materials 17 01817 g002
Figure 3. Deviation in pad asperity height after CMP according to pressure and time conditions.
Figure 3. Deviation in pad asperity height after CMP according to pressure and time conditions.
Materials 17 01817 g003
Figure 4. (Left): Distribution of pad asperity radius before and after 4 psi CMP process. (Right): Mean radius after CMP according to pressure and time conditions.
Figure 4. (Left): Distribution of pad asperity radius before and after 4 psi CMP process. (Right): Mean radius after CMP according to pressure and time conditions.
Materials 17 01817 g004
Figure 5. CMP trajectory simulation according to the number of contact points between the pad and wafer.
Figure 5. CMP trajectory simulation according to the number of contact points between the pad and wafer.
Materials 17 01817 g005
Figure 6. (Left): Simulation of time-dependent asperity height distribution. (Right): Sum of the probability density varied due to height wear at each CMP time.
Figure 6. (Left): Simulation of time-dependent asperity height distribution. (Right): Sum of the probability density varied due to height wear at each CMP time.
Materials 17 01817 g006
Figure 7. (Left): Simulation of time-dependent asperity radius distribution. (Right): Sum of the probability density varied due to radius wear at each CMP time.
Figure 7. (Left): Simulation of time-dependent asperity radius distribution. (Right): Sum of the probability density varied due to radius wear at each CMP time.
Materials 17 01817 g007
Figure 8. (Left): Probability distribution graph of asperity height according to CMP time (5, 10 min CMP conditions from Figure 6(left)). (Middle): Probability distribution graph of asperity radius according to CMP time (5, 10 min CMP conditions from Figure 7(left)). (Right): Joint probability distribution graph of asperity height and radius obtained through MVN theory (5, 10 min CMP conditions).
Figure 8. (Left): Probability distribution graph of asperity height according to CMP time (5, 10 min CMP conditions from Figure 6(left)). (Middle): Probability distribution graph of asperity radius according to CMP time (5, 10 min CMP conditions from Figure 7(left)). (Right): Joint probability distribution graph of asperity height and radius obtained through MVN theory (5, 10 min CMP conditions).
Materials 17 01817 g008
Figure 9. Normalized material removal rate over time under various applied pressures.
Figure 9. Normalized material removal rate over time under various applied pressures.
Materials 17 01817 g009
Figure 10. (Left): Contact force depending on the amount of indentation and radius size of a single asperity. (Right): Simulation of changes in contact force due to changes in asperity wear under high and low pressure conditions.
Figure 10. (Left): Contact force depending on the amount of indentation and radius size of a single asperity. (Right): Simulation of changes in contact force due to changes in asperity wear under high and low pressure conditions.
Materials 17 01817 g010
Table 1. N (Number of contact objects on CMP pad).
Table 1. N (Number of contact objects on CMP pad).
Bef.1 min2 min3 min4 min5 min10 minCond.
2 psi109121103113979156114
3 psi106103116110989652120
4 psi12111810199808260110
5 psi1149672816046 108
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Jeong, S.; Shin, Y.; Jeong, J.; Jeong, S.; Jeong, H. Novel Probability Density Function of Pad Asperity by Wear Effect over Time in Chemical Mechanical Planarization. Materials 2024, 17, 1817. https://doi.org/10.3390/ma17081817

AMA Style

Jeong S, Shin Y, Jeong J, Jeong S, Jeong H. Novel Probability Density Function of Pad Asperity by Wear Effect over Time in Chemical Mechanical Planarization. Materials. 2024; 17(8):1817. https://doi.org/10.3390/ma17081817

Chicago/Turabian Style

Jeong, Seonho, Yeongil Shin, Jongmin Jeong, Seunghun Jeong, and Haedo Jeong. 2024. "Novel Probability Density Function of Pad Asperity by Wear Effect over Time in Chemical Mechanical Planarization" Materials 17, no. 8: 1817. https://doi.org/10.3390/ma17081817

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop