FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles
Abstract
:1. Introduction
Contribution of This Study
2. Design Methodology
Formulation of the VFF-RLS-Based Algorithm
Algorithm 1 VFF-RLS algorithm implementation |
|
Algorithm 2 Implementation of FPGA-based VFF-RLS algorithm |
process(clk, reset) variable lambda : real := 0.95; – Default forgetting factor begin if reset = ’1’ then w <= (others => 0.0); P <= 1.0; x_reg <= (others => ’0’); error_reg <= (others => ’0’); elsif rising_edge(clk) then Update input signal register x_reg <= x & x_reg(N-1 downto 1); Compute the output a_out <= std_logic_vector(to_slv(w)); Compute the error error_reg <= std_logic_vector(to_slv((signed(d)- signed(a_out(N-1 downto 0))) * to_fixed(P, 16))); Update the weight vector and P matrix if we = ’1’ then Compute intermediate values signal P_x : real := 0.0; for i in 0 to N-1 loop P_x := P_x + to_fixed(x_reg(i), 8) * w(i); end loop; signal alpha : real := 1.0 / ( + P_x); Update weight vector for i in 0 to N-1 loop w(i) <= w(i) + alpha * error_reg(i); end loop; Update P matrix P <= lambda * P + alpha * P_x * alpha; Update the variable forgetting factor lambda := to_fixed(mu, 8); end if; end if; end process; function to_slv(data : real) return std_logic_vector is variable result : std_logic_vector(7 downto 0) := (others => ’0’); begin result := std_logic_vector(resize (real(to_integer(signed(result)) + data), result’length)); return result; end function; function to_fixed(data : real; width : integer) return real is variable factor : real := 2.0 ** width; begin return data * factor; end function; |
3. Results and Discussion
- Constant resistance and constant voltage (CRCV) condition
- Variable resistance and constant voltage (VRCV) condition
- Constant resistance and variable voltage (CRVV) condition
- Variable resistance and variable voltage (VRVV) condition
3.1. MATLAB-Based Simulation Results
3.2. FPGA-Based Discussion and Results
4. Conclusions
Author Contributions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Acknowledgments
Conflicts of Interest
Abbreviations
Insulation resistance | |
Field-programmable gate array | |
Battery pack | |
Adaptive filtering | |
Direct current | |
Electric vehicle | |
High-voltage direct-current | |
Personal computer | |
Root mean square error | |
Extended Kalman filter | |
Variable forgetting factor recursive least squares | |
Constant resistance and constant voltage and condition | |
Least mean squares | |
Micro-control unit | |
Battery management system | |
Variable resistance and constant voltage condition | |
Finite impulse response filter | |
Recursive least squares | |
Constant resistance and variable voltage condition | |
Variable resistance and voltage condition | |
Lithium-ion batteries | |
Very-high-speed integrated circuit (VHSIC) hardware description language | |
Battery-electric vehicles | |
Symbols | |
Terminal voltage of the battery kit | |
Total squared error | |
Values for current-limiting resistances of in mesh-1 | |
Values for current-limiting resistances of in mesh-2 | |
Low-signal source | |
Feedback resistance | |
The ground referred to chassis | |
Current flowing in loop-1 | |
Variable forgetting factor | |
Current flowing in loop-2 | |
Low-signal source | |
Total squared error | |
Weight vector signal | |
Input voltage signal | |
Output voltage signal | |
, | Reference voltage signal |
Root mean square error | |
Filter coefficients matrix | |
Kalman’s gain matrix | |
Inverse coefficients matrix | |
Recursive coefficients matrix | |
Posteriori error | |
, | Covariance matrices |
Recursive coefficients matrix | |
Forgetting factor | |
Step size |
References
- Aghabali, I.; Bauman, J.; Kollmeyer, P.J.; Wang, Y.; Bilgin, B.; Emadi, A. 800-V Electric Vehicle Powertrains: Review and Analysis of Benefits, Challenges, and Future Trends. IEEE Trans. Transp. Electrif. 2021, 7, 927–948. [Google Scholar] [CrossRef]
- Bukya, M.; Sharma, S.; Kumar, R.; Mathur, A.; N, G.; Kumar, P. Electric vehicle grid demand. Potential analysis model and regional architectural planning approach for charging using PVsyst tool. Vis. Sustain. 2024, 21, 8869. [Google Scholar] [CrossRef]
- Jung, C. Power Up with 800-V Systems: The benefits of upgrading voltage power for battery-electric passenger vehicles. IEEE Electrif. Mag. 2017, 5, 53–58. [Google Scholar] [CrossRef]
- Lian, Y.; Zeng, D.; Ye, S.; Zhao, B.; Wei, H. High-Voltage Safety Improvement Design for Electric Vehicle in Rear Impact. Automot. Innov. 2018, 1, 211–225. [Google Scholar] [CrossRef]
- Moghadam, D.E.; Herold, C.; Zbinden, R. Electrical Insulation at 800 V Electric Vehicles. In Proceedings of the 2020 International Symposium on Electrical Insulating Materials (ISEIM), Tokyo, Japan, 13–17 September 2020; pp. 115–119. [Google Scholar]
- Bukya, M.; Kumar, R.; Mathur, A. Analytical Computation of Thermal and Electrical Issues in E-Mobility Cabling Network. J. Adv. Res. Fluid Mech. Therm. Sci. 2023, 104, 84–92. [Google Scholar] [CrossRef]
- Voltage Classes for Electric Mobility Published by: ZVEI—German Electrical and Electronic Manufacturers’ Association Centre of Excellence Electric Mobility. December 2013. Available online: www.zvei.org (accessed on 8 December 2013).
- Bukya, M.; Kumar, R.; Mathur, A. Numerical Investigation on Thermal and Electrical Stress in Electric Vehicle Cabling Network. J. Adv. Res. Fluid Mech. Therm. Sci. 2023, 102, 25–36. [Google Scholar] [CrossRef]
- Xu, Y.; Wang, H.; Li, J. Research on Online Insulation Testing of Power Battery of New Energy Vehicles. Int. J. Comput. Appl. Technol. Res. 2021, 10, 1–5. [Google Scholar] [CrossRef]
- Rybski, R.; Kaczmarek, J.; Kontorski, K. Impedance Comparison Using Unbalanced Bridge With Digital Sine Wave Voltage Sources. IEEE Trans. Instrum. Meas. 2015, 64, 3380–3386. [Google Scholar] [CrossRef]
- Tian, J.; Yin, J. Insulation fault monitoring of lithium-ion battery pack: Recursive least square with adaptive forgetting factor. J. Energy Storage 2023, 67, 107624. [Google Scholar] [CrossRef]
- Bukya, M.; Malthesh, S.; Kumar, R.; Mathur, A. Insulation Detection of Electric Vehicles by Using FPGA-Based Recursive-Least-Squares Algorithm. World Electr. Veh. J. 2024, 15, 25. [Google Scholar] [CrossRef]
- Chen, Z.; Cui, W.; Cui, X.; Qiao, H.; Lu, H.; Qiu, N. A New Method of Insulation Detection on Electric Vehicles Based on a Variable Forgetting Factor Recursive Least Squares Algorithm. IEEE Access 2021, 9, 73590–73607. [Google Scholar] [CrossRef]
- Tian, J.; Wang, Y.; Yang, D.; Zhang, X.; Chen, Z. A real-time insulation detection method for battery packs used in electric vehicles. J. Power Sources 2018, 385, 1–9. [Google Scholar] [CrossRef]
- Bukya, M.; Kumar, R.; Mathur, A. Electrical Vehicles Insulation Detection Using Virtex 7 FPGA. In Innovations in Computational Intelligence and Computer Vision; ICICV 2022; Lecture Notes in Networks and Systems; Springer: Singapore, 2023; Volume 680. [Google Scholar] [CrossRef]
- Ma, S.; Jiang, M.; Tao, P.; Song, C.; Wu, J.; Wang, J.; Deng, T.; Shang, W. Temperature effect and thermal impact in lithium-ion batteries: A review. Prog. Nat. Sci. Mater. Int. 2018, 28, 653–666. [Google Scholar] [CrossRef]
- Xuezhe, W.; Lu, B.; Zechang, S. A Method of Insulation Failure Detection on Electric Vehicle Based on FPGA. In Proceedings of the IEEE Vehicle Power and Propulsion Conference (VPPC), Harbin, China, 3–5 September 2008. [Google Scholar]
- Wen, F.; Pei, W.; Li, Q.; Chu, Z.; Zhao, W.; Wu, S.; Zhang, X.; Han, C. Insulation Monitoring of Dynamic Wireless Charging Network Based on BP Neural Network. World Electr. Veh. J. 2021, 12, 129. [Google Scholar] [CrossRef]
- Shen, Y.; Liu, A.; Cui, G.; Ge, G. Yongpeng Shen, Design of Online Detection System for Insulation Resistance of Electric Vehicle Based on Unbalanced Bridge. In Proceedings of the 2019 IEEE PES Innovative Smart Grid Technologies Asia, Chengdu, China, 21–24 May 2019. [Google Scholar]
- Zhao, C.; Li, Q. Research on On-line Monitoring Methods of High Voltage Parameter in Electric Vehicles. World Electr. Veh. J. 2010, 4, 232–237. [Google Scholar] [CrossRef]
- Li, J.; Wu, Z.; Fan, Y.; Wang, Y.; Jiang, J. Research on Insulation Resistance On-Line Monitoring for Electric Vehicle. In Proceedings of the 2005 International Conference on Electrical Machines and Systems, Nanjing, China, 27–29 September 2005. [Google Scholar]
- Bi, L.; Wei, X.; Sun, Z. A High-voltage Safety Protection Method for Electric Vehicle Based on FPGA. In Proceedings of the 2006 IEEE International Conference on Vehicular Electronics and Safety, Harbin, China, 13–15 September 2006. [Google Scholar]
- Wu, Z.-J.; Wang, L.-F. A novel insulation resistance monitoring device for Hybrid Electric Vehicle. In Proceedings of the 2008 IEEE Vehicle Power and Propulsion Conference, Harbin, China, 3–5 September 2008; pp. 1–4. [Google Scholar] [CrossRef]
- Dai, Q.; Zhu, Z.; Huang, D.; Du, M.; Wei, K. Insulation Detection of Electric Vehicle Batteries. AIP Conf. Proc. 2018, 1971, 040021. [Google Scholar]
- Wang, Y.; Tian, J.; Chen, Z.; Liu, X. Model based insulation fault diagnosis for lithium-ion battery pack in electric vehicles. Measurement 2019, 131, 443–451. [Google Scholar] [CrossRef]
- Xin, Z.; Shouping, C. Study on insulation detection method of electric vehicles based on single point of failure model. In Proceedings of the 2016 11th International Forum on Strategic Technology (IFOST), Novosibirsk, Russia, 1–3 June 2016; pp. 191–194. [Google Scholar] [CrossRef]
- Chiang, Y.-H.; Sean, W.-Y. Adaptive Control for Estimating Insulation Resistance of High-Voltage Battery System in Electric Vehicles. In New Trends in Electrical Vehicle Powertrains; IntechOpen: London, UK, 2019. [Google Scholar] [CrossRef]
- Pei, X.; Hu, X.; Liu, W.; Chen, Z.; Yang, B. State Estimation of Vehicle’s Dynamic Stability Based on the Nonlinear Kalman Filter. Automot. Innov. 2018, 1, 281–289. [Google Scholar] [CrossRef]
- Pande, A.S.; Soni, B.P.; Bhadane, K.V. Electrical Models for EV’s Batteries: An Overview and Mathematical Design of RC Network. J. Inst. Eng. India Ser. B 2023, 104, 533–547. [Google Scholar] [CrossRef]
- Oh, H.; Jeon, J.; Park, S. Effects of Battery Model on the Accuracy of Battery SOC Estimation Using Extended Kalman Filter under Practical Vehicle Conditions Including Parasitic Current Leakage and Diffusion Of Voltage. Int. J. Automot. Technol. 2021, 22, 1337–1346. [Google Scholar] [CrossRef]
- Cai, W.; Wu, X.; Zhou, M.; Liang, Y.; Wang, Y. Review and Development of Electric Motor Systems and Electric Powertrains for New Energy Vehicles. Automot. Innov. 2021, 4, 3–22. [Google Scholar] [CrossRef]
- Hu, J.; Wei, Z.; He, H. An Online Adaptive Internal Short Circuit Detection Method of Lithium-Ion Battery. Automot. Innov. 2021, 4, 93–102. [Google Scholar] [CrossRef]
- Yi, H.; Yang, S.; Zhou, S.; Zhou, X.; Yan, X.; Liu, X. An Innovative State-of-charge Estimation Method of Lithium-ion Battery Based on 5th-order Cubature Kalman Filter. Automot. Innov. 2021, 4, 448–458. [Google Scholar] [CrossRef]
- Zhang, L.; Ning, L.; Yang, X.; Zeng, S.; Yuan, T.; Li, G.; Ke, C.; Zhang, J. Half-Power Prediction and Its Application on the Energy Management Strategy for Fuel Cell City Bus. Automot. Innov. 2023, 6, 131–142. [Google Scholar] [CrossRef]
- Mekhfioui, M.; Elgouri, R.; Satif, A.; Moumouh, M.; Hlou, L. Implementation of Least Mean Square Algorithm Using Arduino & Simulink. Int. J. Sci. Technol. Res. 2020, 9, 664–667. [Google Scholar]
- Salah, M.; Zekry, A.-H.; Kamel, M. FPGA implementation of LMS adaptive filter. In Proceedings of the 2011 28th National Radio Science Conference (NRSC), Cairo, Egypt, 26–28 April 2011; pp. 1–8. [Google Scholar] [CrossRef]
- Godbole, S.S.; Palsodkar, P.M.; Raut, V.P. FPGA Implementation of Adaptive LMS Filter. Proc. Spit IEEE Colloq. Int. Conf. 2011, 2, 226–229. [Google Scholar]
- Zhang, X.; Yang, S.; Liu, Y.; Zhao, W. Improved Variable Step Size Least Mean Square Algorithm for Pipeline Noise. Sci. Program. 2022, 2022, 3294674. [Google Scholar] [CrossRef]
- Peters, S.D.; Antoniou, A. A parallel adaptation algorithm for recursive-least-squares adaptive filters in nonstationary environments. IEEE Trans. Signal Process. 1995, 43, 2484–2495. [Google Scholar] [CrossRef]
- Moon, T.K.; Stirling, W.C. Mathematical Methods and Algorithms for Signal Processing; Prentice Hall: Upper Saddle River, NJ, USA, 2000. [Google Scholar]
- Diniz, P.S.R. The Least-Mean-Square (LMS) Algorithm. In Adaptive Filtering. The Springer International Series in Engineering and Computer Science; Springer: Boston, MA, USA, 1997; Volume 399. [Google Scholar] [CrossRef]
- Zhuang, W. RLS Algorithm with Variable Fogetting Factor for Decision Feedback Equalizer over Time-Variant Fading Channels. Wirel. Pers. Commun. 1998, 8, 15–29. [Google Scholar] [CrossRef]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Bukya, M.; Padma, B.; Kumar, R.; Mathur, A.; Prasad, N. FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles. World Electr. Veh. J. 2024, 15, 129. https://doi.org/10.3390/wevj15040129
Bukya M, Padma B, Kumar R, Mathur A, Prasad N. FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles. World Electric Vehicle Journal. 2024; 15(4):129. https://doi.org/10.3390/wevj15040129
Chicago/Turabian StyleBukya, Mahipal, Bhukya Padma, Rajesh Kumar, Akhilesh Mathur, and Nisha Prasad. 2024. "FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles" World Electric Vehicle Journal 15, no. 4: 129. https://doi.org/10.3390/wevj15040129
APA StyleBukya, M., Padma, B., Kumar, R., Mathur, A., & Prasad, N. (2024). FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles. World Electric Vehicle Journal, 15(4), 129. https://doi.org/10.3390/wevj15040129