Next Article in Journal
A Review of Lithium-Ion Battery State of Charge Estimation Methods Based on Machine Learning
Previous Article in Journal
FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles
 
 
Article

Article Versions Notes

World Electr. Veh. J. 2024, 15(4), 130; https://doi.org/10.3390/wevj15040130
Action Date Notes Link
article xml file uploaded 25 March 2024 16:46 CET Original file -
article xml uploaded. 25 March 2024 16:46 CET Update https://www.mdpi.com/2032-6653/15/4/130/xml
article pdf uploaded. 25 March 2024 16:46 CET Version of Record https://www.mdpi.com/2032-6653/15/4/130/pdf
article html file updated 25 March 2024 16:47 CET Original file https://www.mdpi.com/2032-6653/15/4/130/html
Back to TopTop