Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

Article Types

Countries / Regions

Search Results (175)

Search Parameters:
Keywords = electron-beam lithography

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
14 pages, 2211 KB  
Communication
Large-Area Nanostructure Fabrication with a 75 nm Half-Pitch Using Deep-UV Flat-Top Laser Interference Lithography
by Kexin Jiang, Mingliang Xie, Zhe Tang, Xiren Zhang and Dongxu Yang
Sensors 2025, 25(18), 5906; https://doi.org/10.3390/s25185906 - 21 Sep 2025
Viewed by 611
Abstract
Micro- and nanopatterning is crucial for advanced photonic, electronic, and sensing devices. Yet achieving large-area periodic nanostructures with a 75 nm half-pitch on low-cost laboratory systems remains difficult, because conventional near-ultraviolet laser interference lithography (LIL) suffers from Gaussian-beam non-uniformity and a narrow exposure [...] Read more.
Micro- and nanopatterning is crucial for advanced photonic, electronic, and sensing devices. Yet achieving large-area periodic nanostructures with a 75 nm half-pitch on low-cost laboratory systems remains difficult, because conventional near-ultraviolet laser interference lithography (LIL) suffers from Gaussian-beam non-uniformity and a narrow exposure latitude. Here, we report a cost-effective deep-ultraviolet (DUV) dual-beam LIL system based on a 266 nm laser and diffractive flat-top beam shaping, enabling large-area patterning of periodical nanostructures. At this wavelength, a moderate half-angle can be chosen to preserve a large beam-overlap region while still delivering 150 nm period (75 nm half-pitch) structures. By independently tuning the incident angle and beam uniformity, we pattern one-dimensional (1D) gratings and two-dimensional (2D) arrays over a Ø 1.0 cm field with critical-dimension variation < 5 nm (1σ), smooth edges, and near-vertical sidewalls. As a proof of concept, we transfer a 2D pattern into Si to create non-metal-coated nanodot arrays that serve as surface-enhanced Raman spectroscopy (SERS) substrates. The arrays deliver an average enhancement factor of ~1.12 × 104 with 11% intensity relative standard deviation (RSD) over 65 sampling points, a performance near the upper limit of all-dielectric SERS substrates. The proposed method overcomes the uneven hotspot distribution and complex fabrication procedures in conventional SERS substrates, enabling reliable and large-area chemical sensing. Compared to electron-beam lithography, the flat-top DUV-LIL approach offers orders-of-magnitude higher throughput at a fraction of the cost, while its centimeter-scale uniformity can be scaled to full wafers with larger beam-shaping optics. These attributes position the method as a versatile and economical route to large-area photonic metasurfaces and sensing devices. Full article
(This article belongs to the Section Nanosensors)
Show Figures

Figure 1

9 pages, 882 KB  
Article
Sensitivity and Contrast Characterization of PMMA 950K Resist Under 30 keV Focused Ga+ Ion Beam Exposure
by Mukhit Muratov, Yana Shabelnikova, Sergey Zaitsev, Renata Nemkayeva and Nazim Guseinov
Micromachines 2025, 16(8), 958; https://doi.org/10.3390/mi16080958 - 20 Aug 2025
Viewed by 3099
Abstract
In this study, the key lithographic performance of PMMA 950K resist was evaluated by exposure to a 30 keV focused gallium (Ga+) ion beam. The sensitivity and contrast of PMMA 950K were directly compared with those of electron exposure under identical [...] Read more.
In this study, the key lithographic performance of PMMA 950K resist was evaluated by exposure to a 30 keV focused gallium (Ga+) ion beam. The sensitivity and contrast of PMMA 950K were directly compared with those of electron exposure under identical development conditions. It was found that the sensitivity of PMMA 950K to Ga+ ions for 50 nm films reaches a value of about 0.4 μC/cm2, which is more than 250 times higher than its sensitivity to electron exposure. A method for evaluating the resist contrast during ion exposure is proposed in this work, taking into account the highly non-uniform dose distribution across the resist depth; it yielded a contrast value of γ = 2.6, which is consistent with the result obtained with electron exposure (γ = 2.8). In addition, a pronounced dependence of the resist sensitivity on the resist thickness was found: with an increase in thickness from 10 nm to 60 nm the sensitivity decreases by an order of magnitude. The obtained results form a reliable methodological basis for characterizing the behavior of polymer resists under ion irradiation and provide valuable recommendations for optimizing lithography with a focused beam of Ga+ ions when creating nanostructures for microelectronics, photonics, and quantum technologies. Full article
Show Figures

Figure 1

45 pages, 5794 KB  
Review
Nanophotonic Materials and Devices: Recent Advances and Emerging Applications
by Yuan-Fong Chou Chau
Micromachines 2025, 16(8), 933; https://doi.org/10.3390/mi16080933 - 13 Aug 2025
Viewed by 2440
Abstract
Nanophotonics, the study of light–matter interactions at the nanometer scale, has emerged as a transformative field that bridges photonics and nanotechnology. Using engineered nanomaterials—including plasmonic metals, high-index dielectrics, two-dimensional (2D) materials, and hybrid systems—nanophotonics enables light manipulation beyond the diffraction limit, unlocking novel [...] Read more.
Nanophotonics, the study of light–matter interactions at the nanometer scale, has emerged as a transformative field that bridges photonics and nanotechnology. Using engineered nanomaterials—including plasmonic metals, high-index dielectrics, two-dimensional (2D) materials, and hybrid systems—nanophotonics enables light manipulation beyond the diffraction limit, unlocking novel applications in sensing, imaging, and quantum technologies. This review provides a comprehensive overview of recent advances (post-2020) in nanophotonic materials, fabrication methods, and their cutting-edge applications. We first discuss the fundamental principles governing nanophotonic phenomena, such as localized surface plasmon resonances (LSPRs), Mie resonances, and exciton–polariton coupling, highlighting their roles in enhancing light–matter interactions. Next, we examine state-of-the-art fabrication techniques, including top-down (e.g., electron beam lithography and nanoimprinting) and bottom-up (e.g., chemical vapor deposition and colloidal synthesis) approaches, as well as hybrid strategies that combine scalability with nanoscale precision. We then explore emerging applications across diverse domains: quantum photonics (single-photon sources, entangled light generation), biosensing (ultrasensitive detection of viruses and biomarkers), nonlinear optics (high-harmonic generation and wave mixing), and integrated photonic circuits. Special attention is given to active and tunable nanophotonic systems, such as reconfigurable metasurfaces and hybrid graphene–dielectric devices. Despite rapid progress, challenges remain, including optical losses, thermal management, and scalable integration. We conclude by outlining future directions, such as machine learning-assisted design, programmable photonics, and quantum-enhanced sensing, and offering insights into the next generation of nanophotonic technologies. This review serves as a timely resource for researchers in photonics, materials science, and nanotechnology. Full article
Show Figures

Figure 1

15 pages, 4886 KB  
Article
Fabrication of Diffractive Optical Elements to Generate Square Focal Spots via Direct Laser Lithography and Machine Learning
by Hieu Tran Doan Trung, Young-Sik Ghim and Hyug-Gyo Rhee
Photonics 2025, 12(8), 794; https://doi.org/10.3390/photonics12080794 - 6 Aug 2025
Viewed by 1483
Abstract
Recently, diffractive optics systems have garnered increasing attention due to their myriad benefits in various applications, such as creating vortex beams, Bessel beams, or optical traps, while refractive optics systems still exhibit some disadvantages related to materials, substrates, and intensity shapes. The manufacturing [...] Read more.
Recently, diffractive optics systems have garnered increasing attention due to their myriad benefits in various applications, such as creating vortex beams, Bessel beams, or optical traps, while refractive optics systems still exhibit some disadvantages related to materials, substrates, and intensity shapes. The manufacturing of diffractive optical elements has become easier due to the development of lithography techniques such as direct laser writing, photo lithography, and electron beam lithography. In this paper, we improve the results from previous research and propose a new methodology to design and fabricate advanced binary diffractive optical elements that achieve a square focal spot independently, reducing reliance on additional components. By integrating a binary square zone plate with an axicon zone plate of the same scale, we employ machine learning for laser path optimization and direct laser lithography for manufacturing. This streamlined approach enhances simplicity, accuracy, efficiency, and cost effectiveness. Our upgraded binary diffractive optical elements are ready for real-world applications, marking a significant improvement in optical capabilities. Full article
(This article belongs to the Section Lasers, Light Sources and Sensors)
Show Figures

Figure 1

19 pages, 1911 KB  
Review
Review of Directed Self-Assembly Material, Processing, and Application in Advanced Lithography and Patterning
by Xiuyan Cheng, Di Liang, Miao Jiang, Yufei Sha, Xiaonan Liu, Jinlai Liu, Qingchen Cao and Jiangliu Shi
Micromachines 2025, 16(6), 667; https://doi.org/10.3390/mi16060667 - 31 May 2025
Cited by 1 | Viewed by 3164
Abstract
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly [...] Read more.
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly enhances the resolution of target patterns and device density. Currently, there are two commonly used methods in DSA: graphoepitaxy, employing lithographically defined topographic templates to guide BCP assembly, and chemoepitaxy, utilizing chemically patterned surfaces with precisely controlled interfacial energies to direct nanoscale phase segregation. Through novel DSA lithography technology, nanoscale patterns with smaller feature sizes and higher densities can be obtained, realizing the miniaturization of hole and line patterns and pitch multiplication and improving the roughness and local critical dimension uniformity (LCDU). It is gradually becoming one of the most promising and advanced lithography techniques. DSA lithography technology has been applied in logic, memory, and optoelectronic device fabrications. Full article
(This article belongs to the Special Issue Recent Advances in Lithography)
Show Figures

Figure 1

13 pages, 4277 KB  
Article
Advancing Nanoscale Copper Deposition Through Ultrafast-Laser-Activated Surface Chemistry
by Modestas Sadauskas, Romualdas Trusovas, Evaldas Kvietkauskas, Viktorija Vrubliauskaitė, Ina Stankevičienė, Aldona Jagminienė, Tomas Murauskas, Dainius Balkauskas, Alexandr Belosludtsev and Karolis Ratautas
Nanomaterials 2025, 15(11), 830; https://doi.org/10.3390/nano15110830 - 30 May 2025
Viewed by 870
Abstract
Direct-writing submicron copper circuits on glass with laser precision—without lithography, vacuum deposition, or etching—represents a transformative step in next-generation microfabrication. We present a high-resolution, maskless method for metallizing glass using ultrashort pulse Bessel beam laser processing, followed by silver ion activation and electroless [...] Read more.
Direct-writing submicron copper circuits on glass with laser precision—without lithography, vacuum deposition, or etching—represents a transformative step in next-generation microfabrication. We present a high-resolution, maskless method for metallizing glass using ultrashort pulse Bessel beam laser processing, followed by silver ion activation and electroless copper plating. The laser-modified glass surface hosts nanoscale chemical defects that promote the in situ reduction of Ag+ to metallic Ag0 upon exposure to AgNO3 solution. These silver seeds act as robust catalytic and adhesion sites for subsequent copper growth. Using this approach, we demonstrate circuit traces as narrow as 0.7 µm, featuring excellent uniformity and adhesion. Compared to conventional redistribution-layer (RDL) and under-bump-metallization (UBM) techniques, this process eliminates multiple lithographic and vacuum-based steps, significantly reducing process complexity and production time. The method is scalable and adaptable for applications in transparent electronics, fan-out packaging, and high-density interconnects. Full article
Show Figures

Figure 1

60 pages, 13122 KB  
Review
Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication
by Prithvi Basu, Jyoti Verma, Vishnuram Abhinav, Ratneshwar Kumar Ratnesh, Yogesh Kumar Singla and Vibhor Kumar
Int. J. Mol. Sci. 2025, 26(7), 3027; https://doi.org/10.3390/ijms26073027 - 26 Mar 2025
Cited by 10 | Viewed by 8944
Abstract
Lithography is crucial to semiconductor manufacturing, enabling the production of smaller, more powerful electronic devices. This review explores the evolution, principles, and advancements of key lithography techniques, including extreme ultraviolet (EUV) lithography, electron beam lithography (EBL), X-ray lithography (XRL), ion beam lithography (IBL), [...] Read more.
Lithography is crucial to semiconductor manufacturing, enabling the production of smaller, more powerful electronic devices. This review explores the evolution, principles, and advancements of key lithography techniques, including extreme ultraviolet (EUV) lithography, electron beam lithography (EBL), X-ray lithography (XRL), ion beam lithography (IBL), and nanoimprint lithography (NIL). Each method is analyzed based on its working principles, resolution, resist materials, and applications. EUV lithography, with sub-10 nm resolution, is vital for extending Moore’s Law, leveraging high-NA optics and chemically amplified resists. EBL and IBL enable high-precision maskless patterning for prototyping but suffer from low throughput. XRL, using synchrotron radiation, achieves deep, high-resolution features, while NIL provides a cost-effective, high-throughput method for replicating nanostructures. Alignment marks play a key role in precise layer-to-layer registration, with innovations enhancing accuracy in advanced systems. The mask fabrication process is also examined, highlighting materials like molybdenum silicide for EUV and defect mitigation strategies such as automated inspection and repair. Despite challenges in resolution, defect control, and material innovation, lithography remains indispensable in semiconductor scaling, supporting applications in integrated circuits, photonics, and MEMS/NEMS devices. Various molecular strategies, mechanisms, and molecular dynamic simulations to overcome the fundamental lithographic limits are also highlighted in detail. This review offers insights into lithography’s present and future, aiding researchers in nanoscale manufacturing advancements. Full article
Show Figures

Figure 1

11 pages, 2921 KB  
Article
Optical Coating Deposition on Submicron-Patterned Surfaces
by Lina Grineviciute, Simas Melnikas, Julianija Nikitina, Mantas Drazdys, Algirdas Selskis and Darija Astrauskytė
Coatings 2025, 15(4), 372; https://doi.org/10.3390/coatings15040372 - 22 Mar 2025
Cited by 2 | Viewed by 1177
Abstract
Periodically modulated optical coatings, fabricated by depositing conformal films on modulated substrates, offer unique capabilities for spectral and spatial filtering of light. However, conventional deposition methods often do not achieve required replication and conformality on submicron-size structured surfaces. In this paper, we compare [...] Read more.
Periodically modulated optical coatings, fabricated by depositing conformal films on modulated substrates, offer unique capabilities for spectral and spatial filtering of light. However, conventional deposition methods often do not achieve required replication and conformality on submicron-size structured surfaces. In this paper, we compare various thin film deposition techniques, including electron beam evaporation, atomic layer deposition, and ion beam sputtering, to evaluate their ability to control multilayer coating growth on periodically modulated substrates. Our study demonstrates that both single-layer and multilayer coatings produced by ion beam sputtering effectively replicate the initial geometry of structured surfaces, thereby enhancing optical performance. Full article
(This article belongs to the Special Issue Optical Coatings: From Materials to Applications)
Show Figures

Figure 1

12 pages, 3151 KB  
Article
Detecting Attomolar Concentrations of Interleukin IL-17A via Pollen-Based Nanoplasmonic Biochips
by Chiara Marzano, Rosalba Pitruzzella, Francesco Arcadio, Federica Passeggio, Mimimorena Seggio, Luigi Zeni, Laura Pasquardini and Nunzio Cennamo
Biosensors 2025, 15(3), 161; https://doi.org/10.3390/bios15030161 - 3 Mar 2025
Cited by 3 | Viewed by 1359
Abstract
Interleukins are involved in several diseases and cancers, and their detection and monitoring are of great interest. Their low abundance and short half-lives suggest the need to develop rapid, specific, and highly sensitive detection platforms, easily integrable in point-of-care (POC) systems. Among the [...] Read more.
Interleukins are involved in several diseases and cancers, and their detection and monitoring are of great interest. Their low abundance and short half-lives suggest the need to develop rapid, specific, and highly sensitive detection platforms, easily integrable in point-of-care (POC) systems. Among the other interleukins, interleukin IL-17A is associated with inflammations, neurodegenerative diseases, and cancers, and no biosensors have been previously reported for its detection. In this work, for the detection of IL-17A, a highly sensitive nanoplasmonic sensor based on natural nanostructures like pollen shells, covered by a gold film and a bio-receptor layer, is presented. Hybrid plasmonic modes are exploited to reach high sensitivity without using costly techniques to fabricate periodic nanostructures, such as electron beam lithography. A transparent amino-modified glass substrate is functionalized with carboxylic activated pollen via carbodiimide chemistry. Then, the pollen-based nanostructures are covered by a gold film and derivatized by an immuno-layer specific to IL-17A recognition. The developed IL-17A biosensor is monitored via a simple, small-sized, and low-cost experimental setup, demonstrating high selectivity, a fast response time of about five minutes, and sensitivity with a limit of detection in the ag/mL concentration range. The biosensor allows for the detection of IL-17A in complex solutions thanks to the possibility of high dilution, an advantageous aspect to POC systems. Full article
(This article belongs to the Special Issue Micro-nano Optic-Based Biosensing Technology and Strategy)
Show Figures

Graphical abstract

12 pages, 4132 KB  
Article
Effects of Electron Beam Lithography Process Parameters on the Structure of Nanoscale Devices Across Three Substrate Materials
by Zhongyang Liu, Yue Chen, Xuanyu Li, Luwei Wang and Junle Qu
Photonics 2025, 12(3), 226; https://doi.org/10.3390/photonics12030226 - 1 Mar 2025
Cited by 2 | Viewed by 2139
Abstract
Electron beam lithography (EBL) is a pivotal technology in the fabrication of nanoscale devices, renowned for its high precision and resolution capabilities. This paper explores the effect of EBL process parameters on various substrate materials, including silicon dioxide, silicon-on-insulator (SOI), and silicon nitride. [...] Read more.
Electron beam lithography (EBL) is a pivotal technology in the fabrication of nanoscale devices, renowned for its high precision and resolution capabilities. This paper explores the effect of EBL process parameters on various substrate materials, including silicon dioxide, silicon-on-insulator (SOI), and silicon nitride. We specifically investigate the impact of the charging effect and reveal the narrow exposure dose windows necessary to achieve optimal pattern fidelity. Based on the measurement results of linewidth, the relationship between exposure dose and the width of the structure pattern after development was analyzed. The optimum exposure dose window for each substrate is identified. Furthermore, through simulations of the charge effect, we demonstrate strategies for mitigating this effect on different substrates, even in complex structural configurations. Our findings contribute to enhancing the capabilities of EBL in semiconductor and insulator manufacturing and research. Full article
Show Figures

Figure 1

15 pages, 4998 KB  
Article
Comparative Assessment of Surface Lattice Resonance Characteristics in Plasmonic Titanium Nitride and Gold Nanodisk Arrays
by Beyza Nur Günaydın, Süleyman Çelik, Meral Yüce and Hasan Kurt
Solids 2025, 6(1), 8; https://doi.org/10.3390/solids6010008 - 12 Feb 2025
Cited by 1 | Viewed by 2430
Abstract
Titanium nitride (TiN) is an advantageous material for plasmonic applications and is suitable for extreme conditions in which conventional plasmonic materials such as gold (Au) cannot be utilized. In this study, TiN and Au nanodisk arrays with different lattice spacing (Λ) were fabricated [...] Read more.
Titanium nitride (TiN) is an advantageous material for plasmonic applications and is suitable for extreme conditions in which conventional plasmonic materials such as gold (Au) cannot be utilized. In this study, TiN and Au nanodisk arrays with different lattice spacing (Λ) were fabricated using the electron beam lithography (EBL) method to increase the quality factor of TiN. At a period of 550 nm, the TiN nanodisk arrays demonstrate a higher sensitivity, 412.79 nm·RIU−1, with the plasmonic resonance wavelength shifting from 883 nm (n = 1.3335) to 915 nm (n = 1.4069) in the NIR region. The surface lattice resonance (SLR) properties of the produced TiN nanodisk arrays were investigated in detail with Au nanodisk arrays. The TiN nanodisk arrays caused sharp plasmon resonances by creating a localized plasmon vibration mode coupled with the diffractive grazing wave excited by the incident light. The transmission dips obtained at narrower full width at half maximum (FWHM) values caused at least an almost 10-fold improvement in the quality factor compared to localized surface plasmon resonance (LSPR) dips. This study is significant for assessing the surface plasmon resonance characteristics of TiN and Au nanodisk arrays across various periods and indices. Full article
Show Figures

Graphical abstract

12 pages, 7565 KB  
Article
Deterministic Fabrication of Fluorescent Nanostructures Featuring Distinct Optical Transitions
by Marijn Rikers, Ayesheh Bashiri, Ángela Barreda, Michael Steinert, Duk-Yong Choi, Thomas Pertsch and Isabelle Staude
Nanomaterials 2025, 15(3), 219; https://doi.org/10.3390/nano15030219 - 29 Jan 2025
Cited by 1 | Viewed by 1121
Abstract
The precise and deterministic integration of fluorescent emitters with photonic nanostructures is an important challenge in nanophotonics and key to the realization of hybrid photonic systems, supporting effects such as emission enhancement, directional emission, and strong coupling. Such integration typically requires the definition [...] Read more.
The precise and deterministic integration of fluorescent emitters with photonic nanostructures is an important challenge in nanophotonics and key to the realization of hybrid photonic systems, supporting effects such as emission enhancement, directional emission, and strong coupling. Such integration typically requires the definition or immobilization of the emitters at defined positions with nanoscale precision. While various methods were already developed for creating localized emitters, in this work we present a new method for the deterministic fabrication of fluorescent nanostructures featuring well-defined optical transitions; it works with a minimal amount of steps and is scalable. Specifically, electron-beam lithography is used to directly pattern a mixture of the negative-tone electron-beam resist with the europium complex Eu(TTA)3, which exhibits both electric and magnetic dipolar transitions. Crucially, the lithography process enables precise control over the shape and position of the resulting fluorescent structures with a feature size of approx. 100 nm. We demonstrate that the Eu(TTA)3 remains fluorescent after exposure, confirming that the electron beam does not alter the structure the optical transitions. This work supports the experimental study of local density of optical states in nanophotonics. It also expands the knowledge base of fluorescent polymer materials, which can have applications in polymer-based photonic devices. Altogether, the presented fabrication method opens the door for the realization of hybrid nanophotonic systems incorporating fluorescent emitters for light-emitting dielectric metasurfaces. Full article
(This article belongs to the Section Nanofabrication and Nanomanufacturing)
Show Figures

Figure 1

16 pages, 3346 KB  
Article
Frequency and Polarization Response of Multipolar Gold Nanoantennae
by Juan R. Moreno, Miguel G. Ramírez-Elías, Israel A. Rosales-Gallegos, Eliseo García-Ramírez, Fernando S. Chiwo, Martha Angélica Cano-Figueroa, Hugo Arcos-Gutiérrez, Isaías E. Garduño, Carlos A. Domínguez, Jesús A. Aboytes-González and Francisco J. González
Photonics 2024, 11(12), 1197; https://doi.org/10.3390/photonics11121197 - 20 Dec 2024
Cited by 1 | Viewed by 896
Abstract
Multipolarized nanoantennae are promising for terahertz applications due to their ability to support multiple resonance and polarization sensitivity. This study investigates the behavior of multipolarized gold nanoantennae placed on a Si-SiO2 substrate and compares their performance with antennae in air. The results [...] Read more.
Multipolarized nanoantennae are promising for terahertz applications due to their ability to support multiple resonance and polarization sensitivity. This study investigates the behavior of multipolarized gold nanoantennae placed on a Si-SiO2 substrate and compares their performance with antennae in air. The results show that the resonance frequency shifts from 27 THz in the air to 26 THz on the SiSiO2 substrate. SEM and FTIR analysis confirmed the antenna dimensions and optical response, with experimental reflectance spectra revealing resonance at 21 THz and 26 THz. The multi-polarized antenna demonstrated stable performance across different polarization angles compared to traditional dipole antennae, making it suitable for various terahertz applications. These findings provide insights into optimizing nanoantenna design for advanced sensors and communication systems. Full article
Show Figures

Figure 1

23 pages, 128368 KB  
Article
Optimization of Soft X-Ray Fresnel Zone Plate Fabrication Through Joint Electron Beam Lithography and Cryo-Etching Techniques
by Maha Labani, Vito Clericò, Enrique Diez, Giancarlo Gatti, Mario Amado and Ana Pérez-Rodríguez
Nanomaterials 2024, 14(23), 1898; https://doi.org/10.3390/nano14231898 - 26 Nov 2024
Cited by 1 | Viewed by 1850
Abstract
The ability to manufacture complex 3D structures with nanometer-scale resolution, such as Fresnel Zone Plates (FZPs), is crucial to achieve state-of-the-art control in X-ray sources for use in a diverse range of cutting-edge applications. This study demonstrates a novel approach combining Electron Beam [...] Read more.
The ability to manufacture complex 3D structures with nanometer-scale resolution, such as Fresnel Zone Plates (FZPs), is crucial to achieve state-of-the-art control in X-ray sources for use in a diverse range of cutting-edge applications. This study demonstrates a novel approach combining Electron Beam Lithography (EBL) and cryoetching to produce silicon-based FZP prototypes as a test bench to assess the strong points and limitations of this fabrication method. Through this method, we obtained FZPs with 100 zones, a diameter of 20 µm, and an outermost zone width of 50 nm, resulting in a high aspect ratio that is suitable for use across a range of photon energies. The process incorporates a chromium mask in the EBL stage, enhancing microstructure precision and mitigating pattern collapse challenges. This minimized issues of under- and over-etching, producing well-defined patterns with a nanometer-scale resolution and low roughness. The refined process thus holds promise for achieving improved optical resolution and efficiency in FZPs, making it viable for the fabrication of high-performance, nanometer-scale devices. Full article
(This article belongs to the Special Issue Mechanical Properties and Applications for Nanostructured Alloys)
Show Figures

Figure 1

11 pages, 1537 KB  
Communication
A Fabrication Method for Realizing Vertically Aligned Silicon Nanowires Featuring Precise Dimension Control
by Sourav Mukherjee, Mohannad Y. Elsayed, Hani H. Tawfik and Mourad N. El-Gamal
Sensors 2024, 24(22), 7144; https://doi.org/10.3390/s24227144 - 6 Nov 2024
Cited by 3 | Viewed by 2792
Abstract
Silicon nanowires (SiNWs) have garnered considerable attention in the last few decades owing to their versatile applications. One extremely desirable aspect of fabricating SiNWs is controlling their dimensions and alignment. In addition, strict control of surface roughness or diameter modulation is another key [...] Read more.
Silicon nanowires (SiNWs) have garnered considerable attention in the last few decades owing to their versatile applications. One extremely desirable aspect of fabricating SiNWs is controlling their dimensions and alignment. In addition, strict control of surface roughness or diameter modulation is another key parameter for enhanced performance in applications such as photovoltaics, thermoelectric devices, etc. This study investigates a method of fabricating silicon nanowires using electron beam lithography (EBL) and the deep reactive ion etching (DRIE) Bosch process to achieve precisely controlled fabrication. The fabricated nanowires had a pitch error within 2% of the pitch of the direct writing mask. The maximum error in the average diameter was close to 25%. The simplified two-step method with tight control of the dimensions and surface tunability presents a reliable technique to fabricate vertically aligned SiNWs for some targeted applications. Full article
Show Figures

Figure 1

Back to TopTop