Next Article in Journal
Spark Plasma Sintering of a Gas Atomized Al7075 Alloy: Microstructure and Properties
Next Article in Special Issue
Role of Hydrogen Bonding in the Formation of Adenine Chains on Cu(110) Surfaces
Previous Article in Journal
Fabrication of Fe-Based Diamond Composites by Pressureless Infiltration
Previous Article in Special Issue
Efficient Solar-Induced Photoelectrochemical Response Using Coupling Semiconductor TiO2-ZnO Nanorod Film
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks

1
Department of Electrical Engineering, The University of Texas at Dallas, Richardson, TX 75080, USA
2
Department of Materials Science and Engineering, The University of Texas at Dallas, Richardson, TX 75080, USA
*
Author to whom correspondence should be addressed.
Materials 2016, 9(12), 1007; https://doi.org/10.3390/ma9121007
Submission received: 8 November 2016 / Revised: 1 December 2016 / Accepted: 6 December 2016 / Published: 12 December 2016

Abstract

:
With the continued miniaturization of devices in the semiconductor industry, atomic layer deposition (ALD) of silicon nitride thin films (SiNx) has attracted great interest due to the inherent benefits of this process compared to other silicon nitride thin film deposition techniques. These benefits include not only high conformality and atomic-scale thickness control, but also low deposition temperatures. Over the past 20 years, recognition of the remarkable features of SiNx ALD, reinforced by experimental and theoretical investigations of the underlying surface reaction mechanism, has contributed to the development and widespread use of ALD SiNx thin films in both laboratory studies and industrial applications. Such recognition has spurred ever-increasing opportunities for the applications of the SiNx ALD technique in various arenas. Nevertheless, this technique still faces a number of challenges, which should be addressed through a collaborative effort between academia and industry. It is expected that the SiNx ALD will be further perceived as an indispensable technique for scaling next-generation ultra-large-scale integration (ULSI) technology. In this review, the authors examine the current research progress, challenges and future prospects of the SiNx ALD technique.

1. Introduction

Silicon nitride (SiNx) has been extensively employed in research and engineering studies. In microelectronics, the SiNx thin films deposited by chemical vapor deposition (CVD) provide many critical functions in the device fabrication process, serving as a dielectric layer, charge storage layer, stress liner, masking layer, barrier, and passivation layer [1,2]. Low-pressure CVD (LPCVD) SiNx films exhibit high conformality and excellent etch resistance [3]. However, the high deposition temperatures (usually ≥700 °C) exceed the thermal budget of advanced ultra-large-scale integration (ULSI) technology. Plasma-enhanced CVD (PECVD) is capable of growing films at low temperatures (≤400 °C) [3]. Unfortunately, the etch resistance and the step coverage performance of PECVD SiNx films usually cannot compete with those of LPCVD SiNx films [4,5]. Moreover, neither of these two techniques can control the film thickness precisely at the atomic-scale due to the intrinsic gas-phase CVD reaction mechanism. In order to deposit high-quality conformal SiNx thin films with a low thermal budget, atomic layer deposition (ALD) is considered a suitable technique [6]. The sequential, self-limiting surface reaction characteristics allow ALD to control SiNx film thickness with atomic-scale precision. The ALD technique has been widely investigated for its performance in depositing thin films for use as high-k dielectrics (e.g., Al2O3, HfO2, ZrO2) and interface passivation layers of III−V semiconductors [7,8,9]. For a comprehensive introduction to the ALD technique, readers are referred to many existing reviews on this topic [6,10,11,12,13].
To date, SiNx thin films have been successfully deposited by both thermal ALD and plasma-enhanced ALD (PEALD). While thermal ALD relies on substrate and chamber heating to drive reaction kinetics at the surface, plasma-enhanced ALD, as the name suggests, takes advantage of the additional energy supplied from plasma to enhance the low-temperature reactivity of species, particularly the nitrogen (N) source, such as ammonia (NH3) [14,15]. The need for highly conformal SiNx thin films in the semiconductor industry has driven intense interest in SiNx ALD, namely the low-temperature PEALD technique. Figure 1 illustrates the number of publications involving SiNx ALD over the past two decades. Despite the evident interest in and growing need to understand the process, comprehensive reviews on SiNx ALD are not available. The main goal of this work is to provide a brief yet insightful overview of this technique, discuss the current research progress and describe the challenges of SiNx ALD through existing publications.
The structure of the review has been organized in the following manner. Section 2 will discuss the current research progress, describing first the growth of SiNx thin films via ALD (thermal ALD and PEALD, respectively) and clearly tabulating the key process parameters and results from available reports (through 31 July 2016). Section 2 will also outline the current and potential applications of this technique, highlighting the importance of surface reaction chemistry in SiNx ALD through discussion of several studies. Section 3 will elaborate upon the challenges facing SiNx ALD from several aspects. Finally, Section 4 will conclude this work, providing an outlook of the SiNx ALD technique.

2. Current Research Progress

In this section, a number of available reports on ALD SiNx were collected and analyzed for both thin film growth parameters and applications as well as studies on the surface reaction mechanism.

2.1. Growth of Silicon Nitride (SiNx) Thin Films via Atomic Layer Deposition (ALD)

2.1.1. Thermal ALD

As summarized in Table 1, previous research has demonstrated that growth of SiNx thin films via thermal ALD can be achieved using chlorosilanes as the silicon (Si) source and either ammonia (NH3) or a more reactive hydrazine (N2H4) as the nitrogen (N) source. Thermal ALD SiNx films are typically deposited at high temperatures (above 450 °C). In the pioneering work by Morishita et al. in 1997, ALD SiNx films were successfully deposited using Si2Cl6 and N2H4 between 525 and 650 °C [17]. However, hydrazine (N2H4), commonly used as rocket fuel, is a very dangerous chemical to handle [18]. NH3 is considered a good alternative reactant, and has eventually become the most widely used reactant for SiNx thermal ALD. As shown in Table 1, several chlorosilane precursors including SiCl4, SiH2Cl2, Si2Cl6 and Si3Cl8 have been extensively investigated for SiNx thermal ALD [17,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34]. It is also particularly important to point out the fact that SiNx thermal ALD using non-chlorosilane-based precursors has not yet been reported.

2.1.2. Plasma-Enhanced ALD

Plasma-enhanced ALD (PEALD) is attracting great attention due to its many advantages [14,39]. No longer limited to chlorosilane precursors and NH3 plasma, PEALD offers a greater variety of choice for both silicon precursors (SiH4, trisilylamine, alkyl-aminosilanes, etc.) and plasma gases (N2, N2/H2). PEALD SiNx films are typically deposited at low temperatures (below 500 °C). As shown in Table 2, an overview of SiNx deposition via PEALD is tabulated.
Direct, remote plasma or radical-assisted types of reactor design allow for versatile process control of ion energy and ion flux density towards the substrate. A more detailed description of the types of reactor designs for PEALD can be found in another review paper [14]. The standard PEALD process only employs plasma during the reactant gas steps and plasma treatment steps. Recently, a report has proposed modifying the process sequence by using an inert gas plasma (such as argon plasma) to activate silicon precursors [40]. It should be noted, however, that any plasma-enhanced mechanism may also incorporate parts of the thermal ALD reaction kinetics, especially at higher temperature.
The silicon precursors for SiNx ALD can be simply classified into three general types, as shown in Table 3. Type I precursors are the chlorine-containing precursors (chlorosilanes), which are easy to synthesize, cost-effective, and typically have a good thermal stability. Type II precursors (with carbon) and type III precursors (without carbon) both belong to the chlorine-free subset of precursors. Alkyl-aminosilanes are representatives of type II precursors, which are free of chlorine atoms and demonstrate decent thermal stability. However, these precursors contain carbon atoms, which raises the concern of carbon residue in the films [41]. In addition, a lower growth per cycle (GPC) is typically observed when using a type II precursor. As shown in Figure 2, the GPC is typically less than 1 Å/cycle. This behavior coincides well with the large, complex structure of the molecules. The use of chlorine-free and carbon-free precursors (type III) is considered a promising solution to address impurity concerns. However, the thermal stability and vapor pressure of precursors, the film conformality, and the film quality still need to be carefully evaluated.
Although the first study of SiNx thermal ALD was reported by Morishita et al. in 1997 [17], the first SiNx PEALD process was reported by Goto et al. in 1996, one year earlier [16]. Both of these initial reports used dichlorosilane (SiH2Cl2) as the Si precursor. Yet, the PEALD process reported growth between 250 and 400 °C using a remote NH3 plasma, while the thermal ALD process required a much higher growth temperature (375–500 °C). Following these initial reports, investigations into SiNx ALD continued to focus on type I chlorosilane precursors. Type II and type III precursor studies were not reported until nearly a decade later.
In 2008, Fang et al. demonstrated SiNx ALD using a type II precursor tris(dimethylamino)silane (3DMAS, SiH(N(CH3)2)3) and remote inductively coupled (ICP) N2/H2 plasma [51]. However, the film exhibited a 5–10 atomic % carbon impurity concentration, which might seriously degrade film quality. Bis(tertiary-butyl-amino)silane (BTBAS, SiH2(NHtBu)2) is another candidate type II precursor. When employed in an LPCVD SiNx process, BTBAS allows the growth to occur at a reduced temperature regime (550–600 °C) [66]. Recently, Knoops et al. reported a PEALD SiNx process using BTBAS and remote ICP N2 plasma [53,54,55,56,67]. High-quality films were obtained (e.g., high mass density ~2.9 g/cm3, high R.I. ~1.96, N/Si ratio ~1.4, low H content ~5%, and extremely low wet etch rate ~1 nm/min in 7:1 buffered HF) and a much lower carbon impurity (~2 atomic %) concentration was reported than that from previous studies [51]. The high mass density and low H content could account for the low wet etch rate of the films [52,68,69]. However, the main shortcoming of this novel process was the fairly low GPC (0.32–0.15 Å/cycle at 200–500 °C). The chemical formula of BTBAS is C8H22N2Si, meaning that each of these large molecules contains only one Si atom. Knoops et al. have attributed the low GPC to both the large size of the BTBAS molecule, which could contribute to steric hindrance effects during the growth, and the low density of Si feedstock per precursor molecule.
A concern of both type I and type II precursors is chlorine or carbon impurity incorporation into the film. The use of type III precursors can fundamentally address this concern. King reported a SiNx ALD process using a standard industrial precursor SiH4 and direct N2 plasma [4,61]. However, a long N2 plasma exposure time (>60 s) was required to achieve saturation in GPC using this process because of the short lifetime of the reactive atomic nitrogen species (N, N+) [70]. Other chlorine-free and carbon-free precursors such as trisilylamine (TSA, N(SiH3)3) or neopentasilane (NPS, (SiH3)4Si) are also considered good candidates for PEALD SiNx [2,5,63,64,65]. Compared to the SiH4 molecule, which has only one Si atom, TSA has three Si atoms and one N atom in the molecule while NPS has five Si atoms in the molecule. With a higher building block concentration (Si, N atoms) of the silicon nitride chemical structure in the precursor molecule, these precursors might be helpful in improving GPC [53]. Triyoso et al. have grown SiNx films using TSA and direct N2/H2 plasma at 300 °C and 400 °C, achieving a reasonably high GPC (1.4–2.1 Å/cycle) and refractive index (R.I.) (2.04–2.16) [5]. Coupled with a different plasma (remote, NH3), Jang et al. also successfully demonstrated SiNx ALD using TSA [2,63,64]. Compared with the former study, this process showed a lower GPC (0.65 Å/cycle) and a lower R.I. (1.65–1.80) within a low-temperature regime from 150 to 350 °C. This can likely be attributed to differences between the two deposition systems and the plasma chemistry (direct N2/H2 plasma vs. remote NH3 plasma), which can consequently make differences in the plasma density and the composition of gas-phase nitrogen-containing reactive species. Recently, Weeks et al. compared two type III precursors (NPS and TSA) using direct N2 plasma ALD [65]. At identical process conditions, the films grown with NPS had a slightly higher GPC (1.4 Å/cycle vs. 1.2 Å/cycle), though they demonstrated a slightly lower R.I. as described in Table 2.

2.2. Applications of SiNx ALD

Due to the decreasing device size and expanding interest in 3D integration, it is indispensable to grow SiNx thin films with superior step coverage and precise thickness control. ALD proves to be effective in meeting the demanding requirements and offers several additional benefits. In this section, applications of the SiNx ALD technique will be discussed in detail.

2.2.1. Gate Spacer

Considerable effort has been devoted to using ALD SiNx thin films as gate spacers in advanced logic and memory devices. A gate spacer acts as a sidewall protection layer of the gate stack and defines the regions of ion implantation. As device dimensions continue downscaling and design rule complexity continues to increase, three requirements for gate spacers should be considered [53]:
  • Good conformality
    A gate spacer must be conformal with minimal wafer loading effects, which ensures that spacer thickness is homogeneously distributed across the wafer. Additionally, the spacer shape is a critical factor that will affect the profile of implanted dopants and will, therefore, define the p-n junction. A steeper sidewall will result in a more well-defined source/drain region [5].
  • Good etch resistance
    High-k metal gate (HKMG) technology has replaced poly/SiON technology in advanced technology nodes [71,72]. Gate spacers with a low wet etch rate (i.e., good etch resistance) are required to keep the encapsulated HKMG stacks still intact after the subsequent cleaning and etching steps [43].
  • Low deposition temperature
    A low deposition temperature can prevent undesirable regrowth of the HKMG stack (e.g., oxidation of TiN, property changes of high-k dielectrics) [43,73,74,75]. In addition, a low process temperature after implantation is beneficial in preventing the diffusion of dopant atoms [2,43].
In an early investigation, Yang et al. reported that using an ALD SiO2/SiNx thin film stack as the gate spacer functioned to reduce the short channel effect for sub-90 nm technology [46]. Triyoso and Koehler et al. employed low-temperature (300–500 °C) PEALD SiNx thin films as the spacer or encapsulation liner for 32/28 nm HKMG technology [5,42,43,76]. They found that the transistors using a PEALD SiNx spacer demonstrated better performance than those using a PECVD SiNx spacer. For future 7/5 nm technology nodes, PEALD SiNx can still function as the gate spacer for III–V and Ge high mobility channel transistors [77]. Recently, Djara et al. reported CMOS-compatible n-channel InGaAs on-insulator FinFETs using PEALD SiNx gate spacers [78].

2.2.2. Gate Dielectric

Prior to the prevalence of high-k materials as the gate dielectric, SiNx had been considered an attractive candidate to replace SiO2 because of its higher dielectric constant and ability to suppress boron penetration through gate dielectrics [22]. Nakajima et al. demonstrated that using ALD SiNx as the gate dielectric material could significantly suppress boron penetration and improve reliability [20,21,22,23,24,25,26]. Hong et al. reported a SiNx(ALD)/SiO2/SiNx(ALD) sandwich-structure as a tunneling gate dielectric for flash memory application [79,80]. In comparison with a single-layer tunneling dielectric using SiO2, the sandwich-structured tunneling dielectric demonstrates a tunable tunneling current with both a higher tunneling current under high electric field and a lower tunneling current under low electric field. These tunneling current-voltage characteristics are attainable due to the modified tunneling barrier profile and are helpful in obtaining better programming characteristics and data retention in flash memory. In addition, ALD SiNx can also serve as a charge trap layer in charge trap flash (CTF) memory and as a part of inter-poly dielectric (IPD) stacks (e.g., oxide-nitride-oxide stacks) in floating gate flash memory [2,63,64].
Gallium nitride metal-insulator-semiconductor high electron mobility transistors (GaN MIS-HEMTs) are being studied for power device applications [7,81,82,83,84,85,86,87,88]. However, it has been reported that trapping at the oxide dielectric/GaN interface, which might originate from Ga–O bonds, may contribute to instability in the threshold voltage (Vth) [89,90]. A nitride-based gate dielectric such as SiNx can potentially improve the interface quality [91,92]. Recently, several researchers employed PEALD SiNx as a gate dielectric layer of GaN MIS-HEMTs and achieved improvement of power device performance [93,94,95,96,97,98,99,100]. This suggests that the application of ALD SiNx could extend to GaN power electronics.

2.2.3. Encapsulation Layer

Encapsulation of flexible organic devices is widely adopted to isolate devices from ambient moisture and oxygen. Low process temperatures (generally <120 °C) are required to avoid thermal degradation of the organic layers [101,102,103]. Employing an inorganic ALD film (e.g., Al2O3, SiO2) as a moisture permeation barrier layer has been considered a good approach [103,104,105,106,107]. However, such low temperatures are often not viable for SiNx thermal ALD because the thermal energy is insufficient. In 2011, King showed that PEALD SiNx films deposited using SiH4 and N2 plasma had excellent moisture-barrier performance [4]. However, the relatively high deposition temperature (250–400 °C) is not compatible with organic devices. More recently, Andringa et al. found that outstanding moisture-barrier performance could be obtained using PEALD SiNx deposited at a low temperature (80–200 °C) [55].

2.2.4. Deposition of Composite Films

Another benefit of ALD is the so-called “digital alloying” or “digital doping” capability. It is a unique and facile route for obtaining ternary or quaternary composite thin films. Many composite materials including III–N semiconductors (AlxGa1−xN, InxGa1−xN, etc.) and oxides (HfxZr1−xO2, Al-doped ZnO, etc.) have been prepared with this kind of approach [108,109,110,111,112,113]. Based on this concept, Kim et al. successfully reduced the dielectric constant of ALD SiNx gate spacer by alloying with boron nitride [114]. They demonstrate that this technique can reduce parasitic capacitance. Recently, PEALD SiN–AlN composite films with excellent etch resistance in HF acid were also developed by Kim et al. [52]. In addition, researchers also studied ALD–TiSiN for use as a gate electrode and diffusion barrier, and ALD–RuSiN composite films for use as a Cu diffusion barrier [58,59,62,115,116,117,118].

2.2.5. Stressor

The channel strain can be intentionally modulated to enhance the carrier mobility by utilizing stressor layers [119]. PECVD SiNx films with high tensile/compressive stress have been used as contact etch stop liners (CESL) and adopted in stress memory technique (SMT) to improve CMOS transistor performance [120,121]. However, reports regarding how to control the stress in ALD SiNx thin films are scarce. Nagata et al. found that the stress of PEALD SiNx film was dependent on the deposition temperature [47]. PEALD SiNx films showed higher stress and better stress uniformity, in comparison with the SiNx films deposited by thermal ALD. However, other important factors (e.g., precursors, plasma conditions, plasma treatment) which may modulate the stress were not studied. King and Triyoso et al. reported that the intrinsic stress of PEALD SiNx films could be modulated to be either compressive or tensile by varying low-frequency power [4,5], which also holds true for PECVD SiNx films [122,123].

2.3. A Highlighted Topic: The Surface Reactions of SiNx ALD

It is well known that ALD is based on the self-limiting surface reactions. Thus it is essential to understand the surface reaction mechanism. SiNx ALD consists of two half-cycle reactions: “Silicon precursor half-cycle reaction” and “Nitrogen reactant half-cycle reaction”, as shown in Figure 3.
During the silicon precursor half-cycle reaction, chemisorption interactions allow precursor molecules to “stick” to the surface. Excess precursor molecules which are physisorbed and reaction byproducts will be removed during the purging step. Consequently, the new surface is dominantly terminated by ligands from the adsorbed silicon precursor molecules (e.g., alkylamino groups, –SiClx groups). The nitrogen reactant half-cycle reaction serves the purpose of eliminating the undesired surface components (such as the ligands containing carbon, chlorine, hydrogen) and forming the silicon-nitrogen bonds. Eventually, after one cycle reaction, the dominant components on the new surface will be nitrogen-containing reactive sites (e.g., under-coordinated N atoms, –NHx, dangling bonds). As recently elaborated in the paper authored by Ande et al., the relation between the two half-cycle reactions of SiNx ALD should be reciprocal: the surface after the former reaction can facilitate the following reaction, otherwise, the film growth will not be sustainable [49].
Experimentally, the surface reactions have been investigated using in situ Fourier Transform Infrared Spectroscopy (FTIR), Optical Emission Spectroscopy (OES), and Quadrupole Mass Spectrometry (QMS) [19,27,32,33,56,67]. For example, Klaus et al. analyzed the surface FTIR spectrum for the thermal ALD reaction between SiCl4 and NH3 [19]. The repeated recurrence of amino and chlorine characteristic peak revealed the reconstruction of surface components after each half-cycle reaction. In addition to the FTIR analysis on the film surface, Bosch et al. recently combined FTIR, OES, and QMS to analyze the gas-phase species during the ALD reactions between BTBAS and N2 plasma [56,67]. This systematic study has revealed a lot of useful information such as surface termination, plasma species, impurities in the films, and reaction byproducts.
Calculations based on first-principles Density Functional Theory (DFT) are widely employed to determine thermodynamics and kinetics for ALD SiNx reactions. The early stage studies focused on the adsorption of an individual molecule such as SiH4, chlorosilane or NH3 on the silicon surface and did not specifically focus on ALD reactions [124,125]. These early works preceded systematic studies of SiNx ALD surface reactions and formed the cornerstone for later theories. Later in 2004, Mui et al. investigated SiNx ALD with SiH4 and NH3 using DFT calculations [126]. This pioneering work has revealed that the reactions have to overcome high activation barriers which is challenging for thermal ALD [127]. Recently, the collaboration between academia and industry has contributed to the surge of theoretical simulation studies of SiNx ALD [34,54,57,127,128,129]. Murray et al. found that the orientation of hydrogen atoms connected to the hydroxyl (–OH) and amine (–NH2) groups is quite different [128]. To form Si–N bonds, the silicon precursor molecules have to interact with amine groups laterally. This pathway is more difficult than the interactions with hydroxyl groups in a vertical way to form Si–O bonds. In terms of aminosilane molecules, Huang et al. predicted the high-activation barriers of the ALD half-cycle reactions between bis(diethylamino)silane (BDEAS, C8H22N2Si), bis(tertiary-butyl-amino)silane (BTBAS, SiH2(NHtBu)2) and amine groups [127]. Interestingly, the above predictions yielded good agreement with the experiment results reported by Knoops et al., who demonstrated high-quality ALD SiNx thin films using BTBAS and N2 plasma [53]. In contrast, the attempts to deposit SiNx using N2/H2 or NH3 plasma failed. Both the experimental results and DFT calculation reported by Ande et al. reveal that the effective adsorption of silicon precursor molecules (BTBAS) only occurs on a surface composed of under-coordinated nitrogen and silicon atoms [54]. The interactions between silicon precursor molecules and under-coordinated atoms are favorable as long as the steric hindrance effects of nearby –NHx and –H surface groups are not present. An N2 plasma, without the presence of hydrogen-containing reactive species (e.g., NHx, H), can create a surface composed of under-coordinated nitrogen and silicon atoms. In contrast, a hydrogen-containing plasma (H2, N2/H2, or NH3 plasma) can passivate the under-coordinated nitrogen and silicon atoms by generating –NHx and –H surface groups. The presence of these surface groups is unfavorable for the adsorption of silicon precursor molecules.
Similar findings were also reported by King and Yusup et al., investigating SiNx ALD using SiH4 and chlorosilanes (SiCl4 and Si2Cl6) as the respective silicon precursor [4,34]. It is necessary to point out the fact that an NH3 gas or NH3 plasma exposure step is still essential when using chlorosilane as the silicon precursor. The nitrogen radicals in an N2 plasma are not reactive with the chlorine ligands. Nevertheless, Yusup et al. have demonstrated that introducing an additional N2 plasma treatment step prior to Si2Cl6 exposure in a thermal ALD cycle (process sequence: purge/Si2Cl6/purge/NH3 gas/purge/N2 plasma treatment) can increase the GPC nearly twice (from 0.59 Å/cycle to 1.1 Å/cycle) [34]. The Si2Cl6 dosage for the saturation growth has also been reduced by approximately one order of magnitude (from 107 L to <106 L). The authors have proposed that an N2 plasma is able to recover the under-coordinated surface atoms and consequently enhance the adsorption of Si2Cl6 molecules.

3. Challenges of SiNx ALD

While SiNx deposition via ALD offers several benefits over LPCVD and PECVD approaches, many challenges including the inherent slowness of film growth still exist and hinder the industrialization of SiNx ALD. In this section, we will discuss several critical challenges with SiNx ALD.

3.1. Thermal ALD

3.1.1. Limitation of the Deposition Temperature

From the perspectives of process integration, the high temperature of LPCVD process (usually ≥700 °C) can be avoided by using a thermal ALD process. As mentioned in Section 2, because of the high-energy barriers of each half-cycle ALD reaction, the deposition temperatures of SiNx thermal ALD typically exceed 450 °C. To obtain sufficient reactivity and desired film quality, thermal ALD SiNx still requires a higher deposition temperature (500–600 °C). The processes within this temperature regime may not be compatible with the advanced ULSI technology and the chlorosilane precursors may be subjected to thermal decomposition [32]. Consequently, thermal ALD of high-quality SiNx films can only be applied to the applications without a rigid restriction on high deposition temperature. Therefore, it is necessary to employ the extra energy supplied from plasma or other pathways to reduce the deposition temperature without sacrificing the SiNx film quality.

3.1.2. Excess Precursor Dosage and Dosing Time

Chipmakers have always been sparing no efforts to maximize the throughput (e.g., reduce process time per wafer) and minimize the cost (e.g., reduce material consumption). In terms of the ALD process, once the saturation growth is satisfied, minimizing the dosage and the dosing time of precursor is desired. However, the previous reports (see Table 4) showed that a high dosage of precursor (107–1010 L) was required for the saturated reaction in SiNx thermal ALD. The dosing pressure of precursor is typically in the range of 0.1–10 Torr, which corresponds to approximately 101–103 s dosing time. This raises great challenges from the manufacturing point of view. Fortunately, as also shown in Table 4, the use of plasma-enhanced ALD or hot filament (HF) ALD could greatly reduce the precursor dosage by several orders of magnitude [16,29,44,49].

3.2. Plasma-Enhanced ALD

Degradation of the Conformality

Due to the superior step coverage of thermal ALD, it has become the method of choice for depositing SiNx thin films conformally on high aspect ratio (AR) structures. However, as shown in Figure 4, this unique feature which differentiates thermal ALD from the other methods becomes less straightforward when plasma is involved [65]. The directionality and short mean free path of reactive species in the plasma become detrimental for high AR and complexed features. For example, a typical trench structure consists of the top-surface, the sidewall, and the trench bottom. The transformation of reactive radicals in the nitrogen-containing plasma to non-reactive molecules (e.g., N2, H2) will occur, through a “recombination process” proposed by Knoops et al. [130]. Consequently, different reactivity and growth rates will occur selectively at different locations, resulting in the degraded step coverage and the variation of film properties (density, composition, wet etch rate, etc.) throughout the structure. In addition, Knoops et al. found that the byproduct components in the gas phase could be unintentionally “redeposited” back to the film surface [56,67]. Thus, the effects of directionality, “recombination process,” and “redeposition” should be carefully reduced by optimizing the plasma conditions [14].
In addition, the selection of silicon precursor and nitrogen-containing plasma gas is crucial for improving conformality [131,132,133]. For example, Tang et al. have reported that the conformality of an N2-plasma-based process is inferior to that of an NH3-plasma-based process [131,132]. This can be attributed to the fact that the lifetime of reactive radicals in an N2 plasma is shorter than that in an NH3 plasma. Additionally, as explained previously in Section 2.3, the difference in surface termination after plasma exposure (e.g., under-coordinated surface atoms after N2 plasma exposure vs. –NHx and –H groups passivated surface after NH3 plasma exposure) can influence the adsorption of silicon precursor molecules. This may account for the difference in conformality as well. Nevertheless, the use of N2 plasma to grow SiNx films can suppress the hydrogen incorporation directly from the reactive species in the plasma. A lower hydrogen content in the PEALD SiNx films is helpful in reducing the wet etch rate [52,68,69]. It is also beneficial for the applications that prefer a hydrogen-free or ammonia-free plasma process.

3.3. Other Common Challenges

3.3.1. Chlorine Impurities and Byproducts from the Chlorine-Containing Precursors

The concern with the high cost of SiNx ALD precursors may be solved by using chlorosilane-based precursors. However, the chlorine impurities and process byproducts, ammonia chloride (NH4Cl), raise new challenges [134]. The unwanted incorporation of chlorine may cause a device-reliability issue. Further decreasing deposition temperature below the thermal decomposition temperature (~330 °C) of NH4Cl will lead to incomplete removal of byproducts from the surface. Additionally, the defects and undesired system downtime associated with NH4Cl will reduce productivity. More importantly, some applications prefer a halide-free process [4,135,136,137].

3.3.2. Substrate Sensitivity

The initial surface reactions of SiNx ALD is extremely critical and immensely sensitive to the substrate that is used [13]. Various materials, including silicon, compound semiconductors, organics, graphite, and two-dimensional (2D) materials (graphene, MoS2, black phosphorus, etc.), can be used as the substrate for SiNx ALD [138,139,140,141,142]. This uncertainty in the reaction surface exacerbates the difficulty in creating a universal SiNx ALD process to fulfill all requirements. For example, Yokoyama et al. observed that there was a growth delay in ALD SiNx on the LPCVD Si3N4 surface while no delay was observed on the hydrogen-terminated Si surface [29].
In the past few years, some novel devices based on 2D materials have been proposed for beyond CMOS nanoelectronics [143,144,145,146]. To grow high-k gate dielectrics on 2D materials using ALD, several surface functionalization techniques have been successfully adopted to activate the chemically inert surface [147,148,149]. PECVD SiNx film has been successfully employed as a gate dielectric layer for graphene field-effect transistors (GFET), a passivation layer of MoS2 field-effect transistors [139,140,150]. ALD is expected to be a better approach to growing high-quality SiNx thin films on 2D materials. However, the lack of surface reaction sites on 2D materials makes SiNx ALD difficult. To our best knowledge, studies on this topic have not been reported yet. Therefore, SiNx ALD on 2D materials is expected to encounter many technical issues (e.g., non-uniform film growth, unintentional damage, and doping of 2D materials) in the early development stage [151].

4. Conclusions and Outlooks

Atomic layer deposition (ALD) is an ideal approach to growing SiNx thin films to fulfill the requirements of ULSI technology downscaling to the sub-10 nm technology node. In the past two decades, there has been remarkable progress in the development of the silicon nitride (SiNx) ALD technique.
This review has presented a brief introduction to the motivation of SiNx ALD. Subsequently, SiNx thin film growth using thermal ALD and plasma-enhanced ALD has been tabulated and described in detail. By analyzing the correlation between precursors and growth per cycle (GPC), it is found that the carbon-containing precursors show a lower GPC, which may relate to the size and complexity of the molecule structure. In addition, various critical applications have been examined in depth. Specifically, the importance of surface reactions of SiNx ALD has been discussed. The experimental and theoretical findings will provide the readers with a guideline for the process design and optimization. Finally, some of the challenges faced when working with SiNx ALD have been considered.
Regarding the important applications in semiconductor technology and relatively short development history (~20 years), the prospects for SiNx ALD are very promising as manufacturing approaches atomic-scale dimensions. The design, development and optimization of precursors, process sequences, reactors and plasma sources require collaborative work between the scientific and industrial communities. New findings will be reported to understand the underlying mechanism, and new approaches will be proposed to address the challenges. Additionally, with the improvements of this technique, the applications will be ultimately expanded into those unexploited areas such as biology and the medical industry. It is evident that, shortly, great benefits will be gained from SiNx ALD.

Acknowledgments

This work was partially supported by the IT R&D program of MOTIE/KEIT (Grant No. 10048933, Development of epitaxial structure design and epitaxial growth system for high-voltage power semiconductors), and by Dow Corning Corporation and Toshiba-Mitsubishi-Electric Industrial Systems Corporation (TMEIC). This work was also supported by the Future Semiconductor Device Technology Development Program (Grant 10045216) funded through KEIT by MOTIE (Ministry of Trade, Industry and Energy) and COSAR (Consortium of Semiconductor Advanced Research) in Korea. The authors also would like to thank all the researchers for their contributions to the advancement of the silicon nitride ALD technique. In particular, the authors would like to acknowledge Byung Keun Hwang (Dow Corning Corporation) for his fruitful discussions and insight into the silicon nitride ALD technique.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Kern, W.; Schuegraf, K.K. Deposition Technologies and Applications: Introduction and Overview. In Handbook of Thin Film Deposition Processes and Techniques, 2nd ed.; Seshan, K., Ed.; William Andrew Publishing: Norwich, NY, USA, 2001; pp. 11–43. [Google Scholar]
  2. Jang, W.; Jeon, H.; Song, H.; Kim, H.; Park, J.; Kim, H.; Jeon, H. The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer. Phys. Status Solidi 2015, 212, 2785–2790. [Google Scholar] [CrossRef]
  3. Xia, L.-Q.; Chang, M. Chemical Vapor Deposition. In Handbook of Semiconductor Manufacturing Technology, 2nd ed.; Doering, R., Nishi, Y., Eds.; CRC Press: Boca Raton, FL, USA, 2007; pp. 13-1–13-87. [Google Scholar]
  4. King, S.W. Plasma enhanced atomic layer deposition of SiNx:H and SiO2. J. Vac. Sci. Technol. A 2011, 29, 041501. [Google Scholar] [CrossRef]
  5. Triyoso, D.H.; Hempel, K.; Ohsiek, S.; Jaschke, V.; Shu, J.; Mutas, S.; Dittmar, K.; Schaeffer, J.; Utess, D.; Lenski, M. Evaluation of low temperature silicon nitride spacer for high-k metal gate integration. ECS J. Solid State Sci. Technol. 2013, 2, N222–N227. [Google Scholar] [CrossRef]
  6. George, S.M. Atomic layer deposition: An overview. Chem. Rev. 2010, 110, 111–131. [Google Scholar] [CrossRef] [PubMed]
  7. Qin, X.; Cheng, L.; McDonnell, S.; Azcatl, A.; Zhu, H.; Kim, J.; Wallace, R.M. A comparative study of atomic layer deposition of Al2O3 and HfO2 on AlGaN/GaN. J. Mater. Sci. Mater. Electron. 2015, 26, 4638–4643. [Google Scholar] [CrossRef]
  8. Lucero, A.T.; Byun, Y.-C.; Qin, X.; Cheng, L.; Kim, H.; Wallace, R.M.; Kim, J. In-situ XPS study of ALD ZnO passivation of p-In0.53Ga0.47As. Electron. Mater. Lett. 2015, 11, 769–774. [Google Scholar] [CrossRef]
  9. Lucero, A.T.; Byun, Y.-C.; Qin, X.; Cheng, L.; Kim, H.; Wallace, R.M.; Kim, J. Formation of a ZnO/ZnS interface passivation layer on (NH4)2S treated In0.53Ga0.47As: Electrical and in-situ X-ray photoelectron spectroscopy characterization. Jpn. J. Appl. Phys. 2016, 55, 08PC02. [Google Scholar] [CrossRef]
  10. Leskelä, M.; Ritala, M. Atomic layer deposition (ALD): From precursors to thin film structures. Thin Solid Films 2002, 409, 138–146. [Google Scholar] [CrossRef]
  11. Leskelä, M.; Ritala, M. Atomic layer deposition chemistry: Recent developments and future challenges. Angew. Chem. Int. Ed. 2003, 42, 5548–5554. [Google Scholar] [CrossRef] [PubMed]
  12. Puurunen, R.L. Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 2005, 97, 121301. [Google Scholar] [CrossRef]
  13. Kim, J.; Kim, T.W. Initial surface reactions of atomic layer deposition. JOM 2009, 61, 17–22. [Google Scholar] [CrossRef]
  14. Profijt, H.B.; Potts, S.E.; van de Sanden, M.C.M.; Kessels, W.M.M. Plasma-assisted atomic layer deposition: Basics, opportunities, and challenges. J. Vacuum Sci. Technol. A 2011, 29, 050801. [Google Scholar] [CrossRef]
  15. Hyungjun, K.; II-Kwon, O. Review of plasma-enhanced atomic layer deposition: Technical enabler of nanoscale device fabrication. Jpn. J. Appl. Phys. 2014, 53, 03DA01. [Google Scholar]
  16. Goto, H.; Shibahara, K.; Yokoyama, S. Atomic layer controlled deposition of silicon nitride with self-limiting mechanism. Appl. Phys. Lett. 1996, 68, 3257–3259. [Google Scholar] [CrossRef]
  17. Morishita, S.; Sugahara, S.; Matsumura, M. Atomic-layer chemical-vapor-deposition of silicon-nitride. Appl. Surf. Sci. 1997, 112, 198–204. [Google Scholar] [CrossRef]
  18. Alvarez, D.; Spiegelman, J.; Heinlein, E.; Holmes, R.; Ramos, C.; Leo, M.; Webb, S. Novel oxidants and sources of nitrogen for atomic layer deposition. ECS Trans. 2016, 72, 243–248. [Google Scholar] [CrossRef]
  19. Klaus, J.W.; Ott, A.W.; Dillon, A.C.; George, S.M. Atomic layer controlled growth of Si3N4 films using sequential surface reactions. Surf. Sci. 1998, 418, L14–L19. [Google Scholar] [CrossRef]
  20. Nakajima, A.; Yoshimoto, T.; Kidera, T.; Obata, K.; Yokoyama, S.; Sunami, H.; Hirose, M. Atomic-layer-deposited silicon-nitride/SiO2 stacked gate dielectrics for highly reliable p-metal-oxide-semiconductor field-effect transistors. Appl. Phys. Lett. 2000, 77, 2855–2857. [Google Scholar] [CrossRef]
  21. Nakajima, A.; Yoshimoto, T.; Kidera, T.; Yokoyama, S. Low-temperature formation of silicon nitride gate dielectrics by atomic-layer deposition. Appl. Phys. Lett. 2001, 79, 665–667. [Google Scholar] [CrossRef]
  22. Nakajima, A.; Khosru, Q.D.M.; Yoshimoto, T.; Kidera, T.; Yokoyama, S. NH3-annealed atomic-layer-deposited silicon nitride as a high-k gate dielectric with high reliability. Appl. Phys. Lett. 2002, 80, 1252–1254. [Google Scholar] [CrossRef]
  23. Nakajima, A.; Khosru, Q.D.M.; Yoshimoto, T.; Kidera, T.; Yokoyama, S. Low-temperature formation of highly reliable silicon-nitride gate dielectrics with suppressed soft-breakdown phenomena for advanced complementary metal-oxide-semiconductor technology. J. Vacuum Sci. Technol. B 2002, 20, 1406–1409. [Google Scholar] [CrossRef]
  24. Nakajima, A.; Khosru, Q.D.M.; Yoshimoto, T.; Yokoyama, S. Atomic-layer-deposited silicon-nitride/SiO2 stack—A highly potential gate dielectrics for advanced CMOS technology. Microelectron. Reliabil. 2002, 42, 1823–1835. [Google Scholar] [CrossRef]
  25. Nakajima, A.; Ishii, H.; Kitade, T.; Yokoyama, S. Atomic-layer-deposited ultrathin Si-nitride gate dielectrics—A better choice for sub-tunneling gate dielectrics. In Proceedings of the 2003 IEEE International Electron Devices Meeting, IEDM ‘03 Technical Digest, Washington, DC, USA, 8–10 December 2003; pp. 28.22.21–28.22.24.
  26. Nakajima, A.; Khosru, Q.D.M.; Yoshimoto, T.; Kasai, T.; Yokoyama, S. High quality atomic-layer-deposited ultrathin Si-nitride gate dielectrics with low density of interface and bulk traps. Appl. Phys. Lett. 2003, 83, 335–337. [Google Scholar] [CrossRef]
  27. Lee, W.-J.; Lee, J.-H.; Park, C.O.; Lee, Y.-S.; Shin, S.-J.; Rha, S.-K. A comparative study on the Si precursors for the atomic layer deposition of silicon nitride thin films. J. Korean Phys. Soc. 2004, 45, 1352–1355. [Google Scholar]
  28. Zhu, S.; Nakajima, A. Atomic layer deposition of HfO2 and Si nitride on Ge substrates. Jpn J. Appl. Phys. 2007, 46, 7699–7701. [Google Scholar] [CrossRef]
  29. Yokoyama, S.; Ikeda, N.; Kajikawa, K.; Nakashima, Y. Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces. Appl. Surf. Sci. 1998, 130–132, 352–356. [Google Scholar] [CrossRef]
  30. Hansch, W.; Nakajima, A.; Yokoyama, S. Characterization of silicon/oxide/nitride layers by X-ray photoelectron spectroscopy. Appl. Phys. Lett. 1999, 75, 1535–1537. [Google Scholar] [CrossRef]
  31. Lee, W.-J.; Kim, U.-J.; Han, C.-H.; Chun, M.-H.; Rha, S.-K.; Lee, Y.-S. Characteristics of silicon nitride thin films prepared by using alternating exposures of Si2Cl6 and NH3. J. Korean Phys. Soc. 2005, 47, S598–S602. [Google Scholar]
  32. Park, K.; Yun, W.-D.; Choi, B.-J.; Kim, H.-D.; Lee, W.-J.; Rha, S.-K.; Park, C.O. Growth studies and characterization of silicon nitride thin films deposited by alternating exposures to Si2Cl6 and NH3. Thin Solid Films 2009, 517, 3975–3978. [Google Scholar] [CrossRef]
  33. Riedel, S.; Sundqvist, J.; Gumprecht, T. Low temperature deposition of silicon nitride using Si3Cl8. Thin Solid Films 2015, 577, 114–118. [Google Scholar] [CrossRef]
  34. Yusup, L.L.; Park, J.-M.; Noh, Y.-H.; Kim, S.-J.; Lee, W.-J.; Park, S.; Kwon, Y.-K. Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride. RSC Adv. 2016, 6, 68515–68524. [Google Scholar] [CrossRef]
  35. Matsumura, H. Silicon nitride produced by catalytic chemical vapor deposition method. J. Appl. Phys. 1989, 66, 3612–3617. [Google Scholar] [CrossRef]
  36. Mahan, A.H. An update on silicon deposition performed by hot wire CVD. Thin Solid Films 2006, 501, 3–7. [Google Scholar] [CrossRef]
  37. Verlaan, V.; Houweling, Z.S.; van der Werf, C.H.M.; Romijn, I.G.; Weeber, A.W.; Goldbach, H.D.; Schropp, R.E.I. Deposition of device quality silicon nitride with ultra high deposition rate (>7 nm/s) using hot-wire CVD. Thin Solid Films 2008, 516, 533–536. [Google Scholar] [CrossRef]
  38. Alpuim, P.; Gonçalves, L.M.; Marins, E.S.; Viseu, T.M.R.; Ferdov, S.; Bourée, J.E. Deposition of silicon nitride thin films by hot-wire CVD at 100 °C and 250 °C. Thin Solid Films 2009, 517, 3503–3506. [Google Scholar] [CrossRef]
  39. Potts, S.E.; Kessels, W.M.M. Energy-enhanced atomic layer deposition for more process and precursor versatility. Coord. Chem. Rev. 2013, 257, 3254–3270. [Google Scholar] [CrossRef]
  40. Suh, S.; Ryu, S.W.; Cho, S.; Kim, J.-R.; Kim, S.; Hwang, C.S.; Kim, H.J. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor. J. Sci. Technol. A Vac. Surf. Films 2016, 34, 01A136. [Google Scholar] [CrossRef]
  41. Park, T.J.; Sivasubramani, P.; Coss, B.E.; Kim, H.-C.; Lee, B.; Wallace, R.M.; Kim, J.; Rousseau, M.; Liu, X.; Li, H.; et al. Effects of O3 and H2O oxidants on C and N-related impurities in atomic-layer-deposited La2O3 films observed by in situ x-ray photoelectron spectroscopy. Appl. Phys. Lett. 2010, 97, 092904. [Google Scholar] [CrossRef]
  42. Koehler, F.; Triyoso, D.H.; Hussain, I.; Mutas, S.; Bernhardt, H. Atomic layer deposition of SiN for spacer applications in high-end logic devices. IOP Conf. Ser. Mater. Sci. Eng. 2012, 41, 012006. [Google Scholar] [CrossRef]
  43. Koehler, F.; Triyoso, D.H.; Hussain, I.; Antonioli, B.; Hempel, K. Challenges in spacer process development for leading-edge high-k metal gate technology. Phys. Status Solidi 2014, 11, 73–76. [Google Scholar] [CrossRef]
  44. Yokoyama, S.; Goto, H.; Miyamoto, T.; Ikeda, N.; Shibahara, K. Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy. Appl. Surf. Sci. 1997, 112, 75–81. [Google Scholar] [CrossRef]
  45. Ando, T.; Ohta, Y.; Ashihara, H.; Imai, T. A silicon nitride MIM capacitor for analog/mixed-signal integrated circuit using manufacturable atomic layer deposition equipment. ECS Trans. 2007, 11, 55–60. [Google Scholar]
  46. Yang, J.-H.; Park, J.-E.; Lee, J.-W.; Chu, K.-S.; Ku, J.-H.; Park, M.-H.; Lee, N.-I.; Kang, H.-S.; Oh, M.-H.; Lee, J.-H.; et al. Ultimate solution for low thermal budget gate spacer and etch stopper to retard short channel effect in sub-90 nm devices. In Proceedings of the 2003 Symposium on VLSI Technology, Digest of Technical Papers, Kyoto, Japan, 10–12 June 2003; pp. 55–56.
  47. Nagata, K.; Nagasaka, M.; Yamaguchi, T.; Ogura, A.; Oji, H.; Son, J.-Y.; Hirosawa, I.; Watanabe, Y.; Hirota, Y. Evaluation of stress induced by plasma assisted ALD SiN film. ECS Trans. 2013, 53, 51–56. [Google Scholar] [CrossRef]
  48. Provine, J.; Schindler, P.; Kim, Y.; Walch, S.P.; Kim, H.J.; Kim, K.-H.; Prinz, F.B. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride. AIP Adv. 2016, 6, 065012. [Google Scholar] [CrossRef]
  49. Ovanesyan, R.A.; Hausmann, D.M.; Agarwal, S. Low-temperature conformal atomic layer deposition of SiNx films using Si2Cl6 and NH3 plasma. ACS Appl. Mater. Interfaces 2015, 7, 10806–10813. [Google Scholar] [CrossRef] [PubMed]
  50. Li, W.-M. Towards commercialization of AlN and SiNx thin film materials via thermal and plasma-enhanced ALD. In Proceedings of the 15th International Conference on Atomic Layer Deposition, Portland, OR, USA, 28 June–1 July 2015.
  51. Fang, Q.; Hodson, C. Silicon nitride and silicon oxide thin films by plasma ALD. In Proceedings of the 8th International Conference on Atomic Layer Deposition, Bruges, Belgium, 29 June–2 July 2008.
  52. Kim, Y.; Provine, J.; Walch, S.P.; Park, J.; Phuthong, W.; Dadlani, A.L.; Kim, H.-J.; Schindler, P.; Kim, K.; Prinz, F.B. Plasma-enhanced atomic layer deposition of SiN–AlN composites for ultra low wet etch rates in hydrofluoric acid. ACS Appl. Mater. Interfaces 2016, 8, 17599–17605. [Google Scholar] [CrossRef] [PubMed]
  53. Knoops, H.C.M.; Braeken, E.M.J.; de Peuter, K.; Potts, S.E.; Haukka, S.; Pore, V.; Kessels, W.M.M. Atomic layer deposition of silicon nitride from bis(tert-butylamino)silane and N2 plasma. ACS Appl. Mater. Interfaces 2015, 7, 19857–19862. [Google Scholar] [CrossRef] [PubMed]
  54. Ande, C.K.; Knoops, H.C.M.; de Peuter, K.; van Drunen, M.; Elliott, S.D.; Kessels, W.M.M. Role of surface termination in atomic layer deposition of silicon nitride. J. Phys. Chem. Lett. 2015, 6, 3610–3614. [Google Scholar] [CrossRef] [PubMed]
  55. Andringa, A.-M.; Perrotta, A.; de Peuter, K.; Knoops, H.C.M.; Kessels, W.M.M.; Creatore, M. Low-temperature plasma-assisted atomic layer deposition of silicon nitride moisture permeation barrier layers. ACS Appl. Mater. Interfaces 2015, 7, 22525–22532. [Google Scholar] [CrossRef] [PubMed]
  56. Knoops, H.C.M.; de Peuter, K.; Kessels, W.M.M. Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time. Appl. Phys. Lett. 2015, 107, 014102. [Google Scholar] [CrossRef]
  57. Park, J.M.; Jang, S.J.; Yusup, L.L.; Lee, W.J.; Lee, S.I. Plasma-enhanced atomic layer deposition of silicon nitride using a novel silylamine precursor. ACS Appl. Mater. Interfaces 2016, 8, 20865–20871. [Google Scholar] [CrossRef] [PubMed]
  58. Eom, T.-K.; Kim, S.-H.; Kang, D.-H.; Kim, H. Characteristics of plasma-enhanced atomic layer deposited RuSiN as a diffusion barrier against Cu. J. Electrochem. Soc. 2011, 158, D657–D663. [Google Scholar] [CrossRef]
  59. Eom, T.-K.; Kim, S.-H.; Park, K.-S.; Kim, S.; Kim, H. Formation of nano-crystalline Ru-based ternary thin films by plasma-enhanced atomic layer deposition. Electrochem. Solid State Lett. 2011, 14, D10–D12. [Google Scholar] [CrossRef]
  60. Karbasian, G.; Orlov, A.O.; Mukasyan, A.S.; Snider, G.L. Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition. In Proceedings of the 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Wien, Vienna, Austria, 25–27 January 2016; pp. 32–35.
  61. King, S. Plasma enhanced atomic layer deposition of SiN:H using N2 and Silane. ECS Trans. 2010, 33, 365–373. [Google Scholar]
  62. Park, J.-S.; Kang, S.-W.; Kim, H. Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti–Si–N thin films. J. Vacuum Sci. Technol. B 2006, 24, 1327–1332. [Google Scholar] [CrossRef]
  63. Jang, W.; Jeon, H.; Kang, C.; Song, H.; Park, J.; Kim, H.; Seo, H.; Leskela, M.; Jeon, H. Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition. Phys. Status Solidi 2014, 211, 2166–2171. [Google Scholar] [CrossRef]
  64. Jang, W.; Jeon, H.; Song, H.; Park, J.; Kim, H.; Kim, H.; Jeon, H. Low temperature silicon nitride ALD. In Proceedings of the 15th International Conference on Atomic Layer Deposition, Portland, OR, USA, 28 June–1 July 2015.
  65. Weeks, S.; Nowling, G.; Fuchigami, N.; Bowes, M.; Littau, K. Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane. J. Vac. Sci. Technol. A Vac. Surf. Films 2016, 34, 01A140. [Google Scholar] [CrossRef]
  66. Gumpher, J.; Bather, W.; Mehta, N.; Wedel, D. Characterization of low-temperature silicon nitride LPCVD from bis (tertiary-butylamino) silane and ammonia. J. Electrochem. Soc. 2004, 151, G353–G359. [Google Scholar] [CrossRef]
  67. Bosch, R.H.E.C.; Cornelissen, L.E.; Knoops, H.C.M.; Kessels, W.M.M. Atomic layer deposition of silicon nitride from bis(tertiary-butyl-amino)silane and N2 plasma studied by in situ gas phase and surface infrared spectroscopy. Chem. Mater. 2016, 28, 5864–5871. [Google Scholar] [CrossRef]
  68. Chow, R.; Lanford, W.A.; Wang, K.M.; Rosler, R.S. Hydrogen content of a variety of plasma-deposited silicon nitrides. J. Appl. Phys. 1982, 53, 5630–5633. [Google Scholar] [CrossRef]
  69. Claassen, W.; Valkenburg, W.; Habraken, F.; Tamminga, Y. Characterization of plasma silicon nitride layers. J. Electrochem. Soc. 1983, 130, 2419–2423. [Google Scholar] [CrossRef]
  70. Manabe, Y.; Mitsuyu, T. Silicon nitride thin films prepared by the electron cyclotron resonance plasma chemical vapor deposition method. J. Appl. Phys. 1989, 66, 2475–2480. [Google Scholar] [CrossRef]
  71. Mistry, K.; Allen, C.; Auth, C.; Beattie, B.; Bergstrom, D.; Bost, M.; Brazier, M.; Buehler, M.; Cappellani, A.; Chau, R.; et al. A 45nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging. In Proceedings of the 2007 IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 247–250.
  72. Wilk, G.D.; Wallace, R.M.; Anthony, J.M. High-κ gate dielectrics: Current status and materials properties considerations. J. Appl. Phys. 2001, 89, 5243–5275. [Google Scholar] [CrossRef]
  73. Lee, B.; Choi, K.J.; Hande, A.; Kim, M.J.; Wallace, R.M.; Kim, J.; Senzaki, Y.; Shenai, D.; Li, H.; Rousseau, M.; et al. A novel thermally-stable zirconium amidinate ALD precursor for ZrO2 thin films. Microelectron. Eng. 2009, 86, 272–276. [Google Scholar] [CrossRef]
  74. Lee, B.; Park, T.J.; Hande, A.; Kim, M.J.; Wallace, R.M.; Kim, J.; Liu, X.; Yi, J.H.; Li, H.; Rousseau, M.; et al. Electrical properties of atomic-layer-deposited La2O3 films using a novel La formamidinate precursor and ozone. Microelectron. Eng. 2009, 86, 1658–1661. [Google Scholar] [CrossRef]
  75. McDonnell, S.; Dong, H.; Hawkins, J.M.; Brennan, B.; Milojevic, M.; Aguirre-Tostado, F.S.; Zhernokletov, D.M.; Hinkle, C.L.; Kim, J.; Wallace, R.M. Interfacial oxide re-growth in thin film metal oxide III–V semiconductor systems. Appl. Phys. Lett. 2012, 100, 141606. [Google Scholar] [CrossRef]
  76. Triyoso, D.H.; Jaschke, V.; Shu, J.; Mutas, S.; Hempel, K.; Schaeffer, J.K.; Lenski, M. Robust PEALD SiN spacer for gate first high-k metal gate integration. In Proceedings of the 2012 IEEE International Conference on IC Design & Technology (ICICDT), Austin, TX, USA, 30 May–1 June 2012; pp. 1–4.
  77. Heyns, M.; Tsai, W. Ultimate scaling of CMOS logic devices with Ge and III-V materials. MRS Bull. 2009, 34, 485–492. [Google Scholar] [CrossRef]
  78. Djara, V.; Deshpande, V.; Sousa, M.; Caimi, D.; Czornomaz, L.; Fompeyrine, J. CMOS-compatible replacement metal gate InGaAs-OI FinFET with Ion = 156 µA/µm at Vdd = 0.5 V and Ioff = 100 nA/µm. IEEE Electron. Device Lett. 2016, 37, 169–172. [Google Scholar] [CrossRef]
  79. Hong, S.H.; Jang, J.H.; Park, T.J.; Jeong, D.S.; Kim, M.; Hwang, C.S.; Won, J.Y. Improvement of the current-voltage characteristics of a tunneling dielectric by adopting a Si3N4/SiO2/Si3N4 multilayer for flash memory application. Appl. Phys. Lett. 2005, 87, 152106. [Google Scholar] [CrossRef]
  80. Hong, S.H.; Jang, J.H.; Park, T.J.; Jeong, D.S.; Kim, M.; Hwang, C.S. Improvement of the current-voltage characteristics of a tunneling dielectric by barrier engineering by adopting an atomic-layer-deposited SiN layer for flash memory applications. In Proceedings of the 2005 35th European Solid-State Device Research Conference (ESSDERC 2005), Grenoble, France, 12–16 September 2005; pp. 517–520.
  81. Oka, T.; Nozawa, T. AlGaN/GaN recessed MIS-gate HFET with high-threshold-voltage normally-off operation for power electronics applications. IEEE Electron. Device Lett. 2008, 29, 668–670. [Google Scholar] [CrossRef]
  82. Ishida, M.; Uemoto, Y.; Ueda, T.; Tanaka, T.; Ueda, D. GaN power switching devices. In Proceedings of the 2010 International Power Electronics Conference (IPEC), Sapporo, Japan, 21–24 June 2010; pp. 1014–1017.
  83. Chen, K.J.; Zhou, C. Enhancement-mode AlGaN/GaN HEMT and MIS-HEMT technology. Phys. Status Solidi 2011, 208, 434–438. [Google Scholar] [CrossRef]
  84. Brennan, B.; Qin, X.; Dong, H.; Kim, J.; Wallace, R.M. In situ atomic layer deposition half cycle study of Al2O3 growth on AlGaN. Appl. Phys. Lett. 2012, 101, 211604. [Google Scholar] [CrossRef]
  85. Qin, X.; Brennan, B.; Dong, H.; Kim, J.; Hinkle, C.L.; Wallace, R.M. In situ atomic layer deposition study of HfO2 growth on NH4OH and atomic hydrogen treated Al0.25Ga0.75N. J. Appl. Phys. 2013, 113, 244102. [Google Scholar] [CrossRef]
  86. Qin, X.; Dong, H.; Brennan, B.; Azacatl, A.; Kim, J.; Wallace, R.M. Impact of N2 and forming gas plasma exposure on the growth and interfacial characteristics of Al2O3 on AlGaN. Appl. Phys. Lett. 2013, 103, 221604. [Google Scholar] [CrossRef]
  87. Qin, X.; Dong, H.; Kim, J.; Wallace, R.M. A crystalline oxide passivation for Al2O3/AlGaN/GaN. Appl. Phys. Lett. 2014, 105, 141604. [Google Scholar] [CrossRef]
  88. Qin, X.; Lucero, A.; Azcatl, A.; Kim, J.; Wallace, R.M. In situ X-ray photoelectron spectroscopy and capacitance voltage characterization of plasma treatments for Al2O3/AlGaN/GaN stacks. Appl. Phys. Lett. 2014, 105, 011602. [Google Scholar] [CrossRef]
  89. Huang, S.; Yang, S.; Roberts, J.; Chen, K.J. Threshold voltage instability in Al2O3/GaN/AlGaN/GaN metal-insulator-semiconductor high-electron mobility transistors. Jpn. J. Appl. Phys. 2011, 50, 110202. [Google Scholar] [CrossRef]
  90. Lagger, P.; Ostermaier, C.; Pobegen, G.; Pogany, D. Towards understanding the origin of threshold voltage instability of AlGaN/GaN MIS-HEMTs. In Proceedings of the 2012 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 10–13 December 2012; pp. 13.11.11–13.11.14.
  91. Hua, M.; Liu, C.; Yang, S.; Liu, S.; Fu, K.; Dong, Z.; Cai, Y.; Zhang, B.; Chen, K.J. Characterization of leakage and reliability of SiNx gate dielectric by low-pressure chemical vapor deposition for GaN-based MIS-HEMTs. IEEE Trans. Electron. Devices 2015, 62, 3215–3222. [Google Scholar] [CrossRef]
  92. Yang, S.; Liu, S.; Liu, C.; Hua, M.; Chen, K.J. Gate stack engineering for GaN lateral power transistors. Semicond. Sci. Technol. 2016, 31, 024001. [Google Scholar] [CrossRef]
  93. Wu, T.L.; Marcon, D.; Jaeger, B.D.; Hove, M.V.; Bakeroot, B.; Stoffels, S.; Groeseneken, G.; Decoutere, S.; Roelofs, R. Time dependent dielectric breakdown (TDDB) evaluation of PE-ALD SiN gate dielectrics on AlGaN/GaN recessed gate D-mode MIS-HEMTs and E-mode MIS-FETs. In Proceedings of the 2015 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 19–23 April 2015; pp. 6C.4.1–6C.4.6.
  94. Choi, W.; Ryu, H.; Jeon, N.; Lee, M.; Cha, H.-Y.; Seo, K.-S. Improvement of Vth instability in normally-off GaN MIS-HEMTs employing PEALD-SiNx as an interfacial layer. IEEE Electron. Device Lett. 2014, 35, 30–32. [Google Scholar] [CrossRef]
  95. Choi, W.; Seok, O.; Ryu, H.; Cha, H.-Y.; Seo, K.-S. High-voltage and low-leakage-current gate recessed normally-off GaN MIS-HEMTs with dual gate insulator employing PELAD-SiNx/RF-sputtered-HfO2. IEEE Electron. Device Lett. 2014, 35, 175–177. [Google Scholar] [CrossRef]
  96. Choi, W.; Ryu, H.; Jeon, N.; Lee, M.; Lee, N.-H.; Seo, K.-S.; Cha, H.-Y. Impacts of conduction band offset and border traps on Vth instability of gate recessed normally-off GaN MIS-HEMTs. In Proceedings of the 2014 IEEE 26th International Symposium on Power Semiconductor Devices & IC’s (ISPSD), Waikoloa, HI, USA, 15–19 June 2014; pp. 370–373.
  97. Meneghesso, G.; Meneghini, M.; Bisi, D.; Rossetto, I.; Wu, T.L.; Van Hove, M.; Marcon, D.; Stoffels, S.; Decoutere, S.; Zanoni, E. Trapping and reliability issues in GaN-based MIS HEMTs with partially recessed gate. Microelectron. Reliabil. 2016, 58, 151–157. [Google Scholar] [CrossRef]
  98. Rossetto, I.; Meneghini, M.; Bisi, D.; Barbato, A.; Van Hove, M.; Marcon, D.; Wu, T.L.; Decoutere, S.; Meneghesso, G.; Zanoni, E. Impact of gate insulator on the DC and dynamic performance of AlGaN/GaN MIS-HEMTs. Microelectron. Reliabil. 2015, 55, 1692–1696. [Google Scholar] [CrossRef]
  99. Wu, T.L.; Marcon, D.; Jaeger, B.D.; Hove, M.V.; Bakeroot, B.; Lin, D.; Stoffels, S.; Kang, X.; Roelofs, R.; Groeseneken, G.; et al. The impact of the gate dielectric quality in developing Au-free d-mode and e-mode recessed gate AlGaN/GaN transistors on a 200 mm Si substrate. In Proceedings of the 2015 IEEE 27th International Symposium on Power Semiconductor Devices & IC’s (ISPSD), Hong Kong, China, 10–14 May 2015; pp. 225–228.
  100. Zanoni, E.; Meneghini, M.; Meneghesso, G.; Bisi, D.; Rossetto, I.; Stocco, A. Reliability and failure physics of GaN HEMT, MIS-HEMT and p-gate HEMTs for power switching applications: Parasitic effects and degradation due to deep level effects and time-dependent breakdown phenomena. In Proceedings of the 2015 IEEE 3rd Workshop on Wide Bandgap Power Devices and Applications (WiPDA), Blacksburg, VA, USA, 2–4 November 2015; pp. 75–80.
  101. Lewis, J. Material challenge for flexible organic devices. Mater. Today 2006, 9, 38–45. [Google Scholar] [CrossRef]
  102. Lewis, J.S.; Weaver, M.S. Thin-film permeation-barrier technology for flexible organic light-emitting devices. IEEE J. Sel. Top. Quant. Electron. 2004, 10, 45–57. [Google Scholar] [CrossRef]
  103. Ghosh, A.P.; Gerenser, L.J.; Jarman, C.M.; Fornalik, J.E. Thin-film encapsulation of organic light-emitting devices. Appl. Phys. Lett. 2005, 86, 223503. [Google Scholar] [CrossRef]
  104. Park, S.-H.K.; Oh, J.; Hwang, C.-S.; Lee, J.-I.; Yang, Y.S.; Chu, H.Y. Ultrathin film encapsulation of an OLED by ALD. Electrochem. Solid State Lett. 2005, 8, H21–H23. [Google Scholar] [CrossRef]
  105. Carcia, P.F.; McLean, R.S.; Reilly, M.H.; Groner, M.D.; George, S.M. Ca test of Al2O3 gas diffusion barriers grown by atomic layer deposition on polymers. Appl. Phys. Lett. 2006, 89, 031915. [Google Scholar] [CrossRef]
  106. Keuning, W.; van de Weijer, P.; Lifka, H.; Kessels, W.M.M.; Creatore, M. Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H. J. Vac. Sci. Technol. A 2012, 30, 01A131. [Google Scholar] [CrossRef]
  107. Perrotta, A.; van Beekum, E.R.J.; Aresta, G.; Jagia, A.; Keuning, W.; van de Sanden, R.M.C.M.; Kessels, E.W.M.M.; Creatore, M. On the role of nanoporosity in controlling the performance of moisture permeation barrier layers. Microporous Mesoporous Mater. 2014, 188, 163–171. [Google Scholar] [CrossRef]
  108. Ozgit-Akgun, C.; Goldenberg, E.; Okyay, A.K.; Biyikli, N. Hollow cathode plasma-assisted atomic layer deposition of crystalline AlN, GaN and AlxGa1−xN thin films at low temperatures. J. Mater. Chem. C 2014, 2, 2123–2136. [Google Scholar] [CrossRef]
  109. Goldenberg, E.; Ozgit-Akgun, C.; Biyikli, N.; Kemal Okyay, A. Optical characteristics of nanocrystalline AlxGa1−xN thin films deposited by hollow cathode plasma-assisted atomic layer deposition. J. Vac. Sci. Technol. A 2014, 32, 031508. [Google Scholar] [CrossRef] [Green Version]
  110. Boutros, K.S.; McIntosh, F.G.; Roberts, J.C.; Bedair, S.M.; Piner, E.L.; El-Masry, N.A. High quality InGaN films by atomic layer epitaxy. Appl. Phys. Lett. 1995, 67, 1856–1858. [Google Scholar] [CrossRef]
  111. Müller, J.; Böscke, T.S.; Bräuhaus, D.; Schröder, U.; Böttger, U.; Sundqvist, J.; Kücher, P.; Mikolajick, T.; Frey, L. Ferroelectric Zr0.5Hf0.5O2 thin films for nonvolatile memory applications. Appl. Phys. Lett. 2011, 99, 112901. [Google Scholar] [CrossRef]
  112. Müller, J.; Böscke, T.S.; Schröder, U.; Mueller, S.; Bräuhaus, D.; Böttger, U.; Frey, L.; Mikolajick, T. Ferroelectricity in simple binary ZrO2 and HfO2. Nano Lett. 2012, 12, 4318–4323. [Google Scholar] [CrossRef] [PubMed]
  113. Tynell, T.; Karppinen, M. Atomic layer deposition of ZnO: A review. Semicond. Sci. Technol. 2014, 29, 043001. [Google Scholar] [CrossRef]
  114. Kim, J.-G.; Ahn, J.-Y.; Kim, H.-S.; Lim, J.-W.; Kim, C.-H.; Shu, H.; Hasebe, K.; Hur, S.-H.; Park, J.-H.; Kim, H.-S.; et al. A highly manufacturable low-k ALD-SiBN process for 60nm NAND flash devices and beyond. In Proceedings of the 2004 IEEE International Electron Devices Meeting, IEDM ’04 Technical Digest, San Francisco, CA, USA, 13–15 December 2004; pp. 1063–1066.
  115. Min, J.-S.; Park, H.-S.; Kang, S.-W. Metal-organic atomic-layer deposition of titanium-silicon-nitride films. Appl. Phys. Lett. 1999, 75, 1521–1523. [Google Scholar] [CrossRef]
  116. Min, J.-S.; Park, J.-S.; Park, H.-S.; Kanga, S.-W. The mechanism of Si incorporation and the digital control of Si content during the metallorganic atomic layer deposition of Ti-Si-N thin films. J. Electrochem. Soc. 2000, 147, 3868–3872. [Google Scholar] [CrossRef]
  117. Park, J.-S.; Kang, S.-W. Plasma-enhanced ALD of titanium-silicon-nitride using TiCl4, SiH4, and N2/H2/Ar plasma. Electrochem. Solid State Lett. 2004, 7, C87–C89. [Google Scholar] [CrossRef]
  118. Fillot, F.; Maîtrejean, S.; Pierre, F.; Chenevier, B. Work function tuning of TixSiyNz electrodes using partial saturation of chemisorbing surface during pulsing chemical vapor deposition. Electrochem. Solid State Lett. 2009, 12, H272. [Google Scholar] [CrossRef]
  119. Liu, C.W.; Maikop, S.; Yu, C.Y. Mobility-enhancement technologies. IEEE Circ. Devices Mag. 2005, 21, 21–36. [Google Scholar]
  120. Ghani, T.; Armstrong, M.; Auth, C.; Bost, M.; Charvat, P.; Glass, G.; Hoffmann, T.; Johnson, K.; Kenyon, C.; Klaus, J.; et al. A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors. In Proceedings of the 2003 IEEE International Electron Devices Meeting, IEDM ‘03 Technical Digest, Washington, DC, USA, 8–10 December 2003; pp. 11.16.11–11.16.13.
  121. Cai, M.; Ramani, K.; Belyansky, M.; Greene, B.; Lee, D.H.; Waidmann, S.; Tamweber, F.; Henson, W. Stress liner effects for 32-nm SOI MOSFFTs with HKMG. IEEE Trans. Electron. Devices 2010, 57, 1706–1709. [Google Scholar] [CrossRef]
  122. Belyansky, M.; Chace, M.; Gluschenkov, O.; Kempisty, J.; Klymko, N.; Madan, A.; Mallikarjunan, A.; Molis, S.; Ronsheim, P.; Wang, Y.; et al. Methods of producing plasma enhanced chemical vapor deposition silicon nitride thin films with high compressive and tensile stress. J. Vac. Sci. Technol. A 2008, 26, 517–521. [Google Scholar] [CrossRef]
  123. Morin, P.; Raymond, G.; Benoit, D.; Guiheux, D.; Pantel, R.; Volpi, F.; Braccini, M. Study of stress in tensile nitrogen-plasma-treated multilayer silicon nitride films. J. Vac. Sci. Technol. A 2011, 29, 041513. [Google Scholar] [CrossRef]
  124. Hall, M.A.; Mui, C.; Musgrave, C.B. DFT study of the adsorption of chlorosilanes on the Si(100)–2 × 1 surface. J. Phys. Chem. B 2001, 105, 12068–12075. [Google Scholar] [CrossRef]
  125. Widjaja, Y.; Musgrave, C.B. Ab initio study of the initial growth mechanism of silicon nitride on Si(100)–(2 × 1) using NH3. Phys. Rev. B 2001, 64, 205303. [Google Scholar] [CrossRef]
  126. Mui, C.; Widjaja, Y.; Kang, J.K.; Musgrave, C.B. Surface reaction mechanisms for atomic layer deposition of silicon nitride. Surf. Sci. 2004, 557, 159–170. [Google Scholar] [CrossRef]
  127. Huang, L.; Han, B.; Han, B.; Derecskei-Kovacs, A.; Xiao, M.; Lei, X.; O’Neill, M.L.; Pearlstein, R.M.; Chandra, H.; Cheng, H. Density functional theory study on the full ALD process of silicon nitride thin film deposition via BDEAS or BTBAS and NH3. Phys. Chem. Chem. Phys. 2014, 16, 18501–18512. [Google Scholar] [CrossRef] [PubMed]
  128. Murray, C.A.; Elliott, S.D.; Hausmann, D.; Henri, J.; LaVoie, A. Effect of reaction mechanism on precursor exposure time in atomic layer deposition of silicon oxide and silicon nitride. ACS Appl. Mater. Interfaces 2014, 6, 10534–10541. [Google Scholar] [CrossRef] [PubMed]
  129. Mustard, T.J.L.; Kwak, H.S.; Goldberg, A.; Gavartin, J.; Morisato, T.; Yoshidome, D.; Halls, M.D. Quantum mechanical simulation for the analysis, optimization and accelerated development of precursors and processes for atomic layer deposition (ALD). J. Korean Ceram. Soc. 2016, 53, 317–324. [Google Scholar] [CrossRef]
  130. Knoops, H.C.M.; Langereis, E.; van de Sanden, M.C.M.; Kessels, W.M.M. Conformality of plasma-assisted ALD: Physical processes and modeling. J. Electrochem. Soc. 2010, 157, G241. [Google Scholar] [CrossRef]
  131. Tang, S.; Kelchner, K.; Yuan, G.; Hausmann, D.; Henri, J.; Sims, J. Precursor and process effects on conformality for atomic layer deposition of silicon nitride using a nitrogen (N2) plasma. In Proceedings of the 15th International Conference on Atomic Layer Deposition, Portland, OR, USA, 28 June–1 July 2015.
  132. Kelchner, K.; Tang, S.; Yuan, G.; Hausmann, D.; Henri, J.; Sims, J. Plasma effects on conformality for atomic layer deposition of silicon nitride. In Proceedings of the 15th International Conference on Atomic Layer Deposition, Portland, OR, USA, 28 June–1 July 2015.
  133. Noda, N.; Suzuki, I.; Ko, C. Precursor effect on low temperature PEALD of SiN. In Proceedings of the 15th International Conference on Atomic Layer Deposition, Portland, OR, USA, 28 June–1 July 2015.
  134. Hausmann, D.; Henri, J.; Sims, J.; Kelchner, K.; Janjam, S.; Tang, S. Challenges with industrialization of atomic layer deposition of silicon nitride. In Proceedings of the Meeting Abstracts, The Electrochemical Society, Cancun, Mexico, 5–9 October 2014; p. 1608.
  135. Del Alamo, J.A. Nanometre-scale electronics with III-V compound semiconductors. Nature 2011, 479, 317–323. [Google Scholar] [CrossRef] [PubMed]
  136. Cheng, L.; Jandhyala, S.; Mordi, G.; Lucero, A.T.; Huang, J.; Azcatl, A.; Addou, R.; Wallace, R.M.; Colombo, L.; Kim, J. Partially fluorinated graphene: Structural and electrical characterization. ACS Appl. Mater. Interfaces 2016, 8, 5002–5008. [Google Scholar] [CrossRef] [PubMed]
  137. Edmonds, M.; Kent, T.J.; Wolf, S.; Sardashti, K.; Chang, M.; Kachian, J.; Droopad, R.; Chagarov, E.; Kummel, A.C. In0.53Ga0.47As(001)-(2 × 4) and Si0.5Ge0.5(110) surface passivation by self-limiting deposition of silicon containing control layers. In Proceedings of the 2016 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 25–27 April 2016; pp. 1–2.
  138. Cheng, L.; Yun, K.; Lucero, A.; Huang, J.; Meng, X.; Lian, G.; Nam, H.-S.; Wallace, R.M.; Kim, M.; Venugopal, A.; et al. Low temperature synthesis of graphite on Ni films using inductively coupled plasma enhanced CVD. J. Mater. Chem. C 2015, 3, 5192–5198. [Google Scholar] [CrossRef]
  139. Zhu, W.; Neumayer, D.; Perebeinos, V.; Avouris, P. Silicon nitride gate dielectrics and band gap engineering in graphene layers. Nano Lett. 2010, 10, 3572–3576. [Google Scholar] [CrossRef] [PubMed]
  140. Late, D.J.; Liu, B.; Matte, H.S.S.R.; Dravid, V.P.; Rao, C.N.R. Hysteresis in single-layer MoS2 field effect transistors. ACS Nano 2012, 6, 5635–5641. [Google Scholar] [CrossRef] [PubMed]
  141. Zhu, H.; McDonnell, S.; Qin, X.; Azcatl, A.; Cheng, L.; Addou, R.; Kim, J.; Ye, P.D.; Wallace, R.M. Al2O3 on black phosphorus by atomic layer deposition: An in situ interface study. ACS Appl. Mater. Interfaces 2015, 7, 13038–13043. [Google Scholar] [CrossRef] [PubMed]
  142. Kim, J.; Jandhyala, S. Atomic layer deposition of dielectrics for carbon-based electronics. Thin Solid Films 2013, 546, 85–93. [Google Scholar] [CrossRef]
  143. Banerjee, S.; Register, L.; Tutuc, E.; Basu, D.; Kim, S.; Reddy, D.; MacDonald, A. Graphene for CMOS and beyond CMOS applications. Proc. IEEE 2010, 98, 2032–2046. [Google Scholar] [CrossRef]
  144. Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-layer MoS2 transistors. Nat. Nano 2011, 6, 147–150. [Google Scholar] [CrossRef] [PubMed]
  145. Wang, H.; Yu, L.; Lee, Y.-H.; Shi, Y.; Hsu, A.; Chin, M.L.; Li, L.-J.; Dubey, M.; Kong, J.; Palacios, T. Integrated circuits based on bilayer MoS2 transistors. Nano Lett. 2012, 12, 4674–4680. [Google Scholar] [CrossRef] [PubMed]
  146. Li, L.; Yu, Y.; Ye, G.J.; Ge, Q.; Ou, X.; Wu, H.; Feng, D.; Chen, X.H.; Zhang, Y. Black phosphorus field-effect transistors. Nat. Nano 2014, 9, 372–377. [Google Scholar] [CrossRef] [PubMed]
  147. Jandhyala, S.; Mordi, G.; Lee, B.; Lee, G.; Floresca, C.; Cha, P.-R.; Ahn, J.; Wallace, R.M.; Chabal, Y.J.; Kim, M.J.; et al. Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone. ACS Nano 2012, 6, 2722–2730. [Google Scholar] [CrossRef] [PubMed]
  148. Lee, B.; Mordi, G.; Kim, M.J.; Chabal, Y.J.; Vogel, E.M.; Wallace, R.M.; Cho, K.J.; Colombo, L.; Kim, J. Characteristics of high-k Al2O3 dielectric using ozone-based atomic layer deposition for dual-gated graphene devices. Appl. Phys. Lett. 2010, 97, 043107. [Google Scholar] [CrossRef]
  149. Cheng, L.; Qin, X.; Lucero, A.T.; Azcatl, A.; Huang, J.; Wallace, R.M.; Cho, K.; Kim, J. Atomic layer deposition of a high-k dielectric on MoS2 using trimethylaluminum and ozone. ACS Appl. Mater. Interfaces 2014, 6, 11834–11838. [Google Scholar] [CrossRef] [PubMed]
  150. Chen, C.T.; Low, T.; Chiu, H.Y.; Zhu, W. Graphene-side-gate engineering. IEEE Electron. Device Lett. 2012, 33, 330–332. [Google Scholar] [CrossRef]
  151. Azcatl, A.; Qin, X.; Prakash, A.; Zhang, C.; Cheng, L.; Wang, Q.; Lu, N.; Kim, M.J.; Kim, J.; Cho, K.; et al. Covalent nitrogen doping and compressive strain in MoS2 by remote N2 plasma exposure. Nano Lett. 2016, 16, 5437–5443. [Google Scholar] [CrossRef] [PubMed]
Figure 1. Number of publications per year with respect to atomic layer deposition (ALD) of silicon nitride (SiNx) since the first report by Goto et al. was available in 1996 [16], as retrieved in the Web of Science and Google Scholar (through 31 July 2016). The key words for searching included the combination of “atomic layer deposition/atomic layer CVD/plasma-enhanced ALD/plasma-assisted ALD/ALD/PEALD” and “silicon nitride/Si nitride/Si3N4/SiN/SiNx”. Irrelevant publications were not considered.
Figure 1. Number of publications per year with respect to atomic layer deposition (ALD) of silicon nitride (SiNx) since the first report by Goto et al. was available in 1996 [16], as retrieved in the Web of Science and Google Scholar (through 31 July 2016). The key words for searching included the combination of “atomic layer deposition/atomic layer CVD/plasma-enhanced ALD/plasma-assisted ALD/ALD/PEALD” and “silicon nitride/Si nitride/Si3N4/SiN/SiNx”. Irrelevant publications were not considered.
Materials 09 01007 g001
Figure 2. Plot of SiNx ALD growth per cycle (GPC) data (from Table 1 and Table 2) vs. different types of silicon precursors using thermal ALD (solid symbol) and plasma-enhanced ALD (open symbol).
Figure 2. Plot of SiNx ALD growth per cycle (GPC) data (from Table 1 and Table 2) vs. different types of silicon precursors using thermal ALD (solid symbol) and plasma-enhanced ALD (open symbol).
Materials 09 01007 g002
Figure 3. Schematic representation of one SiNx ALD cycle.
Figure 3. Schematic representation of one SiNx ALD cycle.
Materials 09 01007 g003
Figure 4. Schematic diagram of conformality degradation in PEALD, in comparison with thermal ALD.
Figure 4. Schematic diagram of conformality degradation in PEALD, in comparison with thermal ALD.
Materials 09 01007 g004
Table 1. Overview of silicon nitride thin films deposited by thermal ALD.
Table 1. Overview of silicon nitride thin films deposited by thermal ALD.
PrecursorReactantDeposition Temp. (°C)GPC (Å/Cycle)Refractive IndexReferences
SiCl4NH3427–6272.452.01[19]
SiCl4NH3375, 550–6000.9–1.5[20,21,22,23,24,25,26]
SiCl4NH3500~1.3[27]
SiCl4NH3350–4000.55 *[28]
SiH2Cl2NH3 (HF)375~11.9[29]
SiH2Cl2NH3375, 550~0.9[30]
SiH2Cl2NH3500~1.2[27]
SiH2Cl2NH34500.8~2.3[31]
Si2Cl6N2H4525–6502.32.07[17]
Si2Cl6NH3515–5572.4–2.81.7–1.8[32]
Si2Cl6NH33000.56[34]
Si3Cl8NH3300–5000.3–0.6[33]
The precursor, the reactant, deposition temperature (°C), growth per cycle (GPC, Å/cycle), refractive index (R.I.) and references are given for reports through 31 July 2016. “HF” is hot filament, which can efficiently dissociate the reactant molecules (e.g., NH3) and enhance the reactivity. Readers can find more descriptions of the hot filament chemical vapor deposition (CVD) technique (also known as catalytic CVD or hot wire CVD technique) in the references [35,36,37,38]. “*” is the result of depositing SiNx film on Ge wafer. “–” is not specified.
Table 2. Overview of silicon nitride thin films deposited by plasma-enhanced ALD.
Table 2. Overview of silicon nitride thin films deposited by plasma-enhanced ALD.
PrecursorPlasma GasReactor/TypeDeposition Temp. (°C)GPC (Å/Cycle)Refractive IndexReferences
SiH3ClNH3Radical/–400[42,43]
SiH2Cl2NH3Remote/MW250–4000.911.6[16,44]
SiH2Cl2NH3Remote/–350–400~1.0[45]
SiH2Cl2NH3Remote/CCP595[46]
SiH2Cl2NH3Radical/–500[42,43]
SiH2Cl2NH3–/–350–500[47]
SiH2Cl2NH3Remote/ICP3500.24[48]
Si2Cl6NH3Direct/CCP350–4501.21.9[49]
Si2Cl6NH3–/–200–5000.31–0.38[50]
SiH(N(CH3)2)3N2/H2Remote/ICP3500.41.95[51]
SiH(N(CH3)2)3N2Remote/ICP3500.11–0.12[48,52]
SiH2(NHtBu)2N2Remote/ICP100–5000.15–0.931.63–1.96[53,54,55,56]
C9H29N3Si3N2Direct/CCP250–4000.361.93[57]
C6H17NSiNH3Direct/CCP3250.35–0.71.7–1.8[40]
C9H25N3SiNH3Direct/CCP325<0.1[40]
C9H25N3SiNH3–/–270~0.07[58,59]
C8H22N2SiN2/H2Remote/ICP[60]
SiH4N2Direct/CCP250–4000.25–2.51.7–1.85[4,61]
SiH4N2/H2Direct/CCP3500.1[62]
(SiH3)3NN2/H2Direct/–300–4001.4–2.12.04–2.16[5]
(SiH3)3NNH3Remote/ICP150–3500.651.65–1.80[2,63,64]
(SiH3)3NN2Direct/CCP250–3001.22.07–2.2[65]
(SiH3)4SiN2Direct/CCP250–3001.42.07–2.14[65]
The precursor, the plasma gas (only nitrogen-containing reactant gas, not carrier gas), reactor type (radical, remote or direct, CCP = Capacitively Coupled Plasma, ICP = Inductively Coupled Plasma, MW = Microwave), deposition temperature (°C), growth per cycle (GPC, Å/cycle), refractive index (R.I.) and references are given for reports through 31 July 2016. “tBu” is tertiary butyl. “–” is not specified. SiH(N(CH3)2)3 = 3DMAS, Tris(dimethylamino)silane; SiH2(NHtBu)2 = BTBAS, Bis(tertiary-butyl-amino)silane; C9H29N3Si3 = DTDN-2H2, DNF Co., Ltd.; C6H17NSi = DIPAS, Di(isopropylamino)silane; C9H25N3Si = TIPAS, Tris(isopropylamino)silane; C8H22N2Si = BDEAS, Bis(diethylamino)silane; (SiH3)4Si = NPS, Neopentasilane; N(SiH3)3 = TSA, Trisilylamine.
Table 3. Classification of the silicon precursors used in SiNx ALD process.
Table 3. Classification of the silicon precursors used in SiNx ALD process.
TypeClassificationExamplesMajor Potential ImpuritiesDeposition Method
IChlorine-containing precursorsChlorosilanes: SiH2Cl2, Si2Cl6, etc.Cl, H, OPEALD, Thermal ALD
IICarbon-containing precursorsAlkyl-aminosilanes: 3DMAS (SiH(N(CH3)2)3), BTBAS (SiH2(NHtBu)2), etc.C, H, OPEALD
IIIChlorine-free and carbon-free precursorsSiH4, TSA (N(SiH3)3), NPS ((SiH3)4Si), etc.H, OPEALD
Table 4. Examples of the precursor dosage employed in SiNx ALD for the saturation growth.
Table 4. Examples of the precursor dosage employed in SiNx ALD for the saturation growth.
ALDPrecursorReactantDosing Pressure (Torr)Dosage (L)Deposition Temp. (°C)GPC (Å/Cycle)References
ThermalSiCl4NH310~1 × 1010427–6272.45[19]
ThermalSiCl4NH3170~5 × 1010375, 550~0.8[24]
ThermalSiH2Cl2NH3~6 × 1094500.8[31]
ThermalSi2Cl6NH31~1 × 107525–6502.3[17]
ThermalSi2Cl6N2H41~2 × 108515–5572.4–2.8[32]
ThermalSiH2Cl2NH3 (HF)0.06~5.4 × 106375~1[29]
PlasmaSiH2Cl2NH30.06~5.4 × 106250–400~0.9[16,44]
PlasmaSi2Cl6NH3~0.07~8 × 105350–4501.2[49]
Plasma(SiH3)3NNH30.3~6 × 104150–3500.65[2,63,64]
Note: Dosage unit “L” represents langmuir, 1 L corresponds to an exposure of 1 × 10−6 Torr during 1 s. “HF”: hot filament

Share and Cite

MDPI and ACS Style

Meng, X.; Byun, Y.-C.; Kim, H.S.; Lee, J.S.; Lucero, A.T.; Cheng, L.; Kim, J. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks. Materials 2016, 9, 1007. https://doi.org/10.3390/ma9121007

AMA Style

Meng X, Byun Y-C, Kim HS, Lee JS, Lucero AT, Cheng L, Kim J. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks. Materials. 2016; 9(12):1007. https://doi.org/10.3390/ma9121007

Chicago/Turabian Style

Meng, Xin, Young-Chul Byun, Harrison S. Kim, Joy S. Lee, Antonio T. Lucero, Lanxia Cheng, and Jiyoung Kim. 2016. "Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks" Materials 9, no. 12: 1007. https://doi.org/10.3390/ma9121007

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop