Next Article in Journal
Fabrication and Characteristics of SnAgCu Alloy Nanowires for Electrical Connection Application
Next Article in Special Issue
Improving ESD Protection Robustness Using SiGe Source/Drain Regions in Tunnel FET
Previous Article in Journal
Manufacturing of All Inkjet-Printed Organic Photovoltaic Cell Arrays and Evaluating Their Suitability for Flexible Electronics
Previous Article in Special Issue
Modeling of Gate Stack Patterning for Advanced Technology Nodes: A Review
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Variability Predictions for the Next Technology Generations of n-type SixGe1−x Nanowire MOSFETs

School of Engineering, University of Glasgow, Glasgow G12 8QW, UK
*
Author to whom correspondence should be addressed.
Micromachines 2018, 9(12), 643; https://doi.org/10.3390/mi9120643
Submission received: 21 November 2018 / Revised: 29 November 2018 / Accepted: 30 November 2018 / Published: 5 December 2018
(This article belongs to the Special Issue Miniaturized Transistors)

Abstract

:
Using a state-of-the-art quantum transport simulator based on the effective mass approximation, we have thoroughly studied the impact of variability on Si x Ge 1 x channel gate-all-around nanowire metal-oxide-semiconductor field-effect transistors (NWFETs) associated with random discrete dopants, line edge roughness, and metal gate granularity. Performance predictions of NWFETs with different cross-sectional shapes such as square, circle, and ellipse are also investigated. For each NWFETs, the effective masses have carefully been extracted from s p 3 d 5 s tight-binding band structures. In total, we have generated 7200 transistor samples and performed approximately 10,000 quantum transport simulations. Our statistical analysis reveals that metal gate granularity is dominant among the variability sources considered in this work. Assuming the parameters of the variability sources are the same, we have found that there is no significant difference of variability between SiGe and Si channel NWFETs.

1. Introduction

Semiconductor fabrication has witnessed amazing progress in the last about 50 to 60 years which has enabled the scaling of the physical dimensions of the metal-oxide-semiconductor field-effect transistors (MOSFETs) at an exponential rate. According to the Institute of Electrical and Electronics Engineers (IEEE) International Roadmap for Devices and Systems (IRDS) report, by the year 2024, the gate length ( L G ) and diameter of transistors are expected to be 10 and 5 nm, respectively, for high-performance logic applications [1]. However, the scaling has slowed down due to increase in a number of detrimental second order effects like source-to-drain tunneling and drain induced barrier lowering (DIBL) [2,3].
In order to overcome these issues, a device with the gate-all-around (GAA) structure is a promising candidate to replace the Fin field-effect transistor (FinFET), which is being adopted in industries [1,4,5]. Devices with the GAA structure showed better electric transport performance thanks to their superior electrostatic integrity. Maheshwaram et al. reported that, by using the vertical GAA Si nanowire MOSFETs (NWFETs) instead of the FinFET, the ring oscillator delay and the power consumption are improved by 33% and 45%, respectively [6]. In addition, nanowires based on different materials and geometry cross-section can be used as transducers, sensors or photovoltaic devices [7,8,9,10].
Studying on the channel material engineering as well as the gate structure is very important to overcome the short channel effects in nanoscale devices. SiGe, III-V, and two-dimensional materials such as graphene and transition metal dichalcogenide are attracting attention as the channel material in future devices thanks to their small transport effective masses ( m t r a n s ) [3,11,12,13,14]. It is noteworthy that materials with smaller m t r a n s can contribute to increases ON-state current ( I ON ) but increases OFF-sate current ( I OFF ) as well in the short channel device due to the source-to-drain tunneling currents. Moreover, transistors with small band-gap materials are suffering from the band-to-band leakage currents [13]. Unfortunately, the overwhelmingly superior material that can replace Si has not been found yet. In this paper, we concentrate on SiGe, which is more compatible with the current complementary metal-oxide-semiconductor (CMOS) technology [15]. In addition, material properties of SiGe can be adjusted by the mole fraction to have the advantages of Si and Ge together.
Previous simulation studies have shown that random discrete dopants (RDD), line edge roughness (LER), and metal gate granularity (MGG) induce significant variability in ultra-scaled InGaAs [16] and Si [17,18] channel nanoscale devices. However, the former used classical transport models, whereas the latter considered a very small number of statistical samples due to the computational cost of quantum transport simulations. To the best of our knowledge, a study comparing the impact of different sources of variability of SiGe channel NWFETs using the quantum transport simulations with a large number of samples is missing.
In this paper, we focus on the investigation of the impact of dominant sources of statistical variability (RDD, LER and MGG) in n-type Si x Ge 1 x channel GAA NWFETs with different cross-section shapes. In order to capture the source-to-drain tunneling in the nanoscale devices, the quantum transport problem for electrons is solved within the parabolic effective mass (PEM) approximation by means of the non-equilibrium Green’s function (NEGF) formalism implemented in the Glasgow Nano-Electronic Simulation Software (NESS) [19]. We also confirm that the calibrated confinement and transport effective masses can reproduce the empirical tight binding (ETB) band structures. For a reliable statistical analysis, an ensemble of 200 transistor samples for each set of variability sources has been adopted. All together, we have performed approximately 10,000 quantum transport simulations with 7200 different transistor samples.
The paper is organized as follows. In Section 2, we discuss the details related to the generation of the statistical variability sources such as RDD, MGG and LER, implementation of the NEGF formalism and the effective mass extraction method from s p 3 d 5 s ETB band structure calculations. This is followed by the discussion of the simulation results in Section 3. Finally, we summarize our results in Section 4.

2. Simulation Framework

2.1. Device Structure with the Variability Sources Included

Figure 1 illustrates the schematic diagram of GAA NWFETs with an elliptic cross-sectional shape. The three dominant variability sources including RDD, LER, and MGG are also highlighted in Figure 1. RDD and LER are generated in the channel region (10.0 nm) and in equal portions of the source and the drain (8.0 nm each), resulting in L v = 26.0 nm. The remaining source and drain regions (20.0 nm each) are assumed to have continuous doping profile in order to ensure good convergence of the electrostatic potential.
For the generation of RDD, a rejection technique has been adopted by considering the atomic arrangement in Si x Ge 1 x NW crystal structures [20] with the corresponding lattice parameter. We generate a random number between 0 and 1 in each atom of Si x Ge 1 x , and substitute the atom with a dopant atom if the random number is less than the criteria (CR). The criteria can be written as:
C R = N D V a t o m ,
where N D is the doping density at this site and V a t o m is the volume of the corresponding atom. Because V a t o m is a constant value determined by the lattice parameter, as N D increases, the probability that a dopant atom is located increases. Therefore, the total number of dopant atoms follows the Poisson distribution [21].
LER at the interface between Si x Ge 1 x and gate oxide is characterized by an auto-correlation function [22]:
C ( r ) = Δ m 2 e 2 r / L m ,
where Δ m is the root mean square, L m is the correlation length, and r is the length between two points. Herein, Δ m and L m are 0.2 and 1.0 nm, respectively, which is consistent with experimental data for Si [23]. To be consistent, we have used the same value of these parameters for Si x Ge 1 x channel devices.
Regarding MGG, the grains in the TiN metal gate region are generated by using the Voronoi algorithm [24,25]. The value of the work-function for each grain can be either 4.4 or 4.6 eV with the probability of 40% or 60% based on previous experimental results [26]. It was reported that, as the grain size increases, the more significant variability is observed, meaning that the small average grain size causes less variability [24]. Therefore, the average grain size of 3.0 nm used in this paper is small enough to expect a relatively less MGG-induced variability.
Following the IRDS specifications for the node “4/3” [1], the n-type Si x Ge 1 x (x = 1.0, 0.8, 0.5, and 0.2) channel GAA NWFETs with L G = 10.0 nm and a diameter (or width) of 5.0 nm (see Figure 1) are considered. NWFETs with square, circle, and elliptic cross-sectional shapes are also studied and their corresponding cross-section dimensions are chosen to have the same footprint to keep the technology node. Indeed, NWFETs with elliptic cross-sectional shapes can be referred to the nano-sheet MOSFETs [27]. The transport direction in all the devices is along [100]. The equivalent oxide thickness is 0.8 nm. The source-to-drain bias V DS is set to 0.6 V. All simulations are performed at 300 K.

2.2. Quantum Transport Formalism

The electron quantum transport problem is solved by exploiting the coupled mode NEGF formalism with the PEM Hamiltonian [28]. Assuming steady-state conditions, we briefly summarize the main features of the NEGF approach in matrix notation. Within the PEM approximation, the discretized mode-space Green’s function is defined as
G ν r ( E ) = E I H ν Σ L , ν r ( E ) Σ R , ν r ( E ) 1 ,
where I is the identity matrix and H ν represents the mode-space version of the Hamiltonian for the ν th conduction band valley. Σ L / R r is the retarded self-energy for the left/right semi-infinite device contact, usually being computed by adopting the recursive algorithm proposed in Ref [29].
The lesser and greater Green’s functions are then obtained from
G ν = G ν r Σ L , ν + Σ R , ν G ν r
with lesser ( Σ < ) and greater self-energies ( Σ > ). They are related to their corresponding retarded counterpart by
Σ r = 1 2 Σ > Σ < ,
where the energy variable E has been omitted for brevity. In practice, the real part of the retarded self-energy in Equation (5) is neglected. This approximation shall not introduce significant error in the transport properties [30]. Once the lesser and greater Green’s functions are known, physical quantities such as carrier density and current can be computed respectively as,
n ( x j , y , z ) = i × 2 ν n , m d E 2 π G n m < ( x j , x j ; E ) ϕ n ( y , z ; x j ) ϕ m ( y , z ; x j ) ,
I ( x j ) = 2 × e ν n , m d E 2 π 2 Re H n m , ν ( x j , x j + 1 ) G m n < ( x j + 1 , x j ; E ) ,
in which the factor 2 considers the spin degeneracy. The eigenfunction ϕ n ( y , z ; x j ) for the mode n is calculated by solving the 2D Schrödinger equation corresponding to the cross-section plane at x j . In nanostructures, such as the nanowires considered in this paper, only few low energy modes are necessary due to the strong confinement. Therefore, there is a significant gain in the size of the matrices that must be inverted in the recursive algorithm [30] employed in NESS for computing the diagonal and off-diagonal elements of G < in Equations (6) and (7), respectively. Finally, Equation (6) is self-consistently coupled to Poisson equation. When the convergence criterion for the electrostatic potential is reached, the current is then calculated from Equation (7).

2.3. Extraction of Effective Masses

In order to model the conduction band for the transport simulation, the PEM Hamiltonian is adopted with transport and confinement effective masses extracted from s p 3 d 5 s ETB method with Boykin’s parameter set, implemented in Synopsys QuantumATK [31,32]. For Si x Ge 1 x materials, virtual crystal approximation is used [33]. Figure 2 shows the conduction band structures of Si and Si 0.2 Ge 0.8 NWs as an example. It is highlighted that L-valley is observed in Si 0.2 Ge 0.8 NW but not in Si NW. Moreover, it is found that the quantization energy ( Δ E Q ), the energy difference of conduction band edges of bulk and NW, of Si 0.2 Ge 0.8 NW is larger than that of Si NW.
The transport effective masses are directly calculated from the ETB band structures as follows:
m t r a n s = 2 2 E k x 2 1 .
The extraction of confinement effective masses ( m c o n f ) is more complicated. The least-squares method is used to find the best value of m c o n f to fit Δ E Q and the energy gap between the first and the second conduction sub-band energies ( Δ E s u b ) as follows:
S = Δ E Q E T B Δ E Q P E M 2 + Δ E s u b E T B Δ E s u b P E M 2 ,
where Δ E Q E T B ( Δ E s u b E T B ) and Δ E Q P E M ( Δ E s u b E T B ) are Δ E Q ( Δ E s u b ) obtained from ETB and PEM methods, respectively. It is noteworthy that Δ E Q P E M and Δ E s u b E T B are the function of m c o n f . Herein, minimized the squared residue S indicates m c o n f are well extracted. As a result, the PEM method successfully reproduces the ETB conduction band structures. The extracted m t r a n s and m c o n f are summurized in Table 1.

3. Simulation Results and Discussion

Figure 3 shows the statistical transfer characteristics for Si 0.2 Ge 0.8 channel elliptical GAA NWFETs considering different sets of statistical variability sources. The drain current is normalized by the diameter (width) of 5 nm of NWFETs. A statistical ensemble of 200 devices has been used in this work. Significant statistical variability is observed in terms of I ON , I OFF and threshold voltage ( V th ). Figure 3a,b show that the change in RDD-induced variability when adding LER is small, whereas Figure 3c clearly shows that MGG is the dominant source of variability in the devices under consideration although very small average grain size of 3.0 nm is used. It is also found that the median of subthreshold slope (SS) with RDD, LER, and MGG is 62.8 mV/dec, which is comparable to the value of SS (63.0 mV/dec) for the corresponding ideal device. Standard deviation of SS is 0.78 mV/dec suggesting that SS does not change much due to the impact of statistical variability sources.
Figure 4 shows the probability distribution of V th with RDD, LER, and MGG. There is a shift in the median, but the distribution shapes (bell shapes) and standard deviations are similar regardless of the mole fraction. Similar qualitative results for the combination of other architectures and materials are observed.
Medians of I ON and I OFF of all simulated devices considered are summarized in Table 2. Herein, I ON is defined at V DS = V GS = 0.6 V and I OFF is defined at V DS = 0.6 V and V GS = 0.0 V. Variation in I OFF is significant with respect to the Ge mole fraction as compared to I ON , but all I OFF satisfy the IRDS criterion of staying below 100 nA/μm [1].
Figure 5 summarizes the correlations between important figures-of-merits (FoMs) in terms of scatter plots and correlation coefficients: I ON , I OFF , V th and DIBL. Herein, V th is calculated using the constant current method with the current criteria I th = 100 nA/μm. As data in Figure 5 shows, the correlation coefficients ρ for the different Ge mole fraction are comparable and very similar to those for Si. In addition, as expected, the V th and I OFF show negative correlation with ρ almost equal to 1. Negatively correlated are I ON and V th with ρ which still has very high value (around −0.85) but less than the ρ value between the V th and I OFF . I OFF and I ON show positive correlation with a correlation coefficient close to 0.85. As expected, the DIBL parameter is not correlated to any of the other FoMs, as shown by the value of ρ very close to 0. Hence, our results suggest that replacing Si channel by Si x Ge 1 x channel will not solve the variability issues in sub-10 nm gate-length NWFETs.
Figure 6 shows the variation of V th for elliptical GAA NWFETs with different Ge mole fractions and different sets of variability sources. It is found that, despite the small average grain size of 3 nm, MGG is the dominant source of variability in the considered devices regardless of the Ge mole fraction. Moreover, the median of V th increases as the number of the variability sources included in the simulations increases. We have also found that, as the Ge mole fraction increases, V th decreases. This can be attributed to the increase in the contribution of the L-valley (see Figure 2) [34]. Therefore, the Si 0.2 Ge 0.8 channel devices have larger I ON than the Si devices considered in this paper as shown in Table 2.
Figure 7a shows the variation of V th for GAA NWFETs with different mole fractions of Ge and different cross-sectional shapes considering the effects of RDD, LER, and MGG. The Ge mole fraction and the shape of the cross-section do not have significant effect on V th variability. Regardless of the cross-sectional shapes, V th is smaller for the larger mole fraction of Ge, which is in a good agreement with the results in Figure 6. Additionally, it is found that the median of V th decreases when the cross-sectional shape is changed from ellipse to circle and to square, in this order. This trend is consistent with the dependence of V th on the inverse of the cross-sectional area, which increases in the aforementioned order. Therefore, the elliptical devices have smaller I ON than the other devices (see Table 2).
The variation of DIBL is plotted in Figure 7b. DIBL calculated from the ideal device is underestimated with respect to its median when considering variability sources (see Table 3). It is interesting to note that Si 0.2 Ge 0.8 channel devices with larger I ON (see Table 2) also show larger DIBL than others, regardless of the cross-sectional shape. Furthermore, the median and the variation of DIBL of the elliptical devices are smaller than that of square and circular devices.

4. Conclusions

We have performed a comprehensive variability analysis of n-type Si x Ge 1 x (x = 1.0, 0.8, 0.5, and 0.2) channel GAA NWFETs using 7200 samples. The electron transport has been modeled by means of the coupled-mode space NEGF formalism implemented in NESS. Our results show that the Ge mole fraction and cross-sectional shapes do not affect significantly the variability in GAA NWFETs, and MGG is the dominant source of variability as when compared to RDD and LER. It is noticeable that the small average grain size of 3 nm is considered in this paper, which is expected to cause relatively less MGG-induced variability. We have also found that Si 0.2 Ge 0.8 channel devices have not only smaller V th but also larger DIBL compared to the devices with lower Ge mole fractions indicating that they suffer the most from short channel effects. In addition, elliptical GAA NWFETs have smaller DIBL compared to square and circular devices, while providing smaller I ON .

Author Contributions

Writing—Original Draft Preparation: J.L. and O.B.; Methodology: (Variability) J.L., (NEGF) H.C.-N. and S.B., (Effective Mass Extraction) O.B. and J.L.; Writing—Review and Editing: C.M.-B., T.D., F.A.-L., and V.P.G.; Supervision: V.P.G. and A.A.

Funding

This project has received funding from the European Union’s Horizon 2020 Research and Innovation Programme under Grant No. 688101 and Engineering and Physical Sciences Research Council (EPSRC) United Kingdom Research and Innovation (UKRI) Innovation Fellowship scheme (EP/S001131/1).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. IEEE International Roadmap for Devices and Systems (IRDS). 2016. Available online: https://irds.ieee.org/reports (accessed on 25 September 2018).
  2. Kawaura, H.; Sakamoto, T.; Baba, T. Observation of source-to-drain direct tunneling current in 8 nm gate electrically variable shallow junction metal–oxide–semiconductor field-effect transistors. Appl. Phys. Lett. 2000, 76, 3810–3812. [Google Scholar] [CrossRef]
  3. Grillet, C.; Logoteta, D.; Cresti, A.; Pala, M.G. Assessment of the Electrical Performance of Short Channel InAs and Strained Si Nanowire FETs. IEEE Trans. Electron Devices 2017, 64, 2425–2431. [Google Scholar] [CrossRef]
  4. Badami, O.; Caruso, E.; Lizzit, D.; Osgnach, P.; Esseni, D.; Palestri, P.; Selmi, L. An Improved Surface Roughness Scattering Model for Bulk, Thin-Body, and Quantum-Well MOSFETs. IEEE Trans. Electron Devices 2016, 63, 2306–2312. [Google Scholar] [CrossRef]
  5. Al-Ameri, T.; Georgiev, V.P.; Adamu-Lema, F.; Asenov, A. Simulation study of vertically stacked lateral Si nanowires transistors for 5 nm CMOS applications. IEEE J. Electron Devices Soc. 2017, 2017 5, 466–472. [Google Scholar] [CrossRef]
  6. Maheshwaram, S.; Manhas, S.K.; Kaushal, G.; Anand, B.; Singh, N. Vertical Silicon Nanowire Gate-All-Around Field Effect Transistor Based Nanoscale CMOS. IEEE Electron Device Lett. 2011, 32, 1011–1013. [Google Scholar] [CrossRef]
  7. Zheng, G.; Lu, W.; Jin, S.; Lieber, C.M. Synthesis and Fabrication of High-Performance n-Type Silicon Nanowire Transistors. Adv. Mater. 2004, 16, 830–834. [Google Scholar] [CrossRef]
  8. Tian, B.; Cohen-Karni, T.; Qing, Q.; Duan, X.; Xie, P.; Lieber, C. Three-Dimensional, Flexible Nanoscale Field-Effect Transistors as Localized Bioprobes. Science 2010, 329, 1890–1893. [Google Scholar] [CrossRef]
  9. Kim, S.K.; Day, R.W.; Cahoon, J.F.; Kempa, T.J.; Song, K.D.; Park, H.G.; Lieber, C.M. Tuning Light Absorption in Core/Shell Silicon Nanowire Photovoltaic Devices through Morphological Design. Nano Lett. 2012, 12, 4971–4976. [Google Scholar] [CrossRef] [Green Version]
  10. Kim, J.; Lee, H.C.; Kim, K.H.; Hwang, M.S.; Park, J.S.; Lee, J.M.; So, J.P.; Choi, J.H.; Kwon, S.H.; Barrelet, C.J.; et al. Photon-triggered nanowire transistors. Nat. Nanotechnol. 2017, 12, 963–968. [Google Scholar] [CrossRef]
  11. Vasen, T.; Ramvall, P.; Afzalian, A.; Thelander, C.; Dick, K.A.; Holland, M.; Doornbos, G.; Wang, S.W.; Oxland, R.; Vellianitis, G.; et al. InAs nanowire GAA n-MOSFETs with 12–15 nm diameter. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar] [CrossRef]
  12. Selvakumar, C.S.; Hecht, B. SiGe-channel n-MOSFET by germanium implantation. IEEE Electron Device Lett. 1991, 12, 444–446. [Google Scholar] [CrossRef]
  13. Lee, J.; Shin, M. Performance Assessment of III-V Channel Ultra-Thin-Body Schottky-Barrier MOSFETs. IEEE Electron Device Lett. 2014, 35, 726–728. [Google Scholar] [CrossRef]
  14. Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-layer MoS2 transistors. Nat. Nanotechnol. 2011, 6, 147–150. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  15. Alher, M.; Mosleh, A.; Cousar, L.; Dou, W.; Grant, P.C.; Ghetmiri, S.A.; AlKabi, S.; Du, W.; Benamara, M.; Li, B.; et al. CMOS Compatible Growth of High Quality Ge, SiGe and SiGeSn for Photonic Device Applications. ECS Trans. 2015, 69, 269–278. [Google Scholar] [CrossRef]
  16. Seoane, N.; Indalecio, G.; Comesaña, E.; Aldegunde, M.; García-Loureiro, A.J.; Kalna, K. Random Dopant, Line-Edge Roughness, and Gate Workfunction Variability in a Nano InGaAs FinFET. IEEE Trans. Electron Devices 2014, 61, 466–472. [Google Scholar] [CrossRef]
  17. Valin, R.; Martinez, A.; Barker, J. Non-equilibrium Green’s functions study of discrete dopants variability on an ultra-scaled FinFET. J. Appl. Phys. 2015, 117, 164505. [Google Scholar] [CrossRef]
  18. Georgiev, V.P.; Towie, E.A.; Asenov, A. Impact of precisely positioned dopants on the performance of an ultimate silicon nanowire transistor: A full three-dimensional NEGF simulation study. IEEE Trans. Electron Devices 2013, 60, 965–971. [Google Scholar] [CrossRef]
  19. Berrada, S.; Carrillo-Nuñez, H.; Lee, J.; Medina-Bailon, C.; Dutta, T.; Duan, M.; Adamu-Lema, F.; Georgiev, V.; Asenov, A. NESS: New Flexible Nano-Transistor Simulation Environment. In Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices 2018, Austin, TX, USA, 24–26 September 2018. [Google Scholar]
  20. Frank, D.J.; Taur, Y.; Ieong, M.; Wong, H.-S. Monte Carlo modeling of threshold variation due to dopant fluctuations. In Proceedings of the 1999 Symposium on VLSI Technology Digest of Technical Papers, Kyoto, Japan, 14–16 June 1999; pp. 169–170. [Google Scholar] [CrossRef]
  21. Asenov, A. Random dopant induced threshold voltage lowering and fluctuations in sub 50 nm MOSFETs: A statistical 3D `atomistic’ simulation study. Nanotechnology 1999, 10, 153–158. [Google Scholar] [CrossRef]
  22. Kim, S.; Luisier, M.; Paul, A.; Boykin, T.B.; Klimeck, G. Full Three-Dimensional Quantum Transport Simulation of Atomistic Interface Roughness in Silicon Nanowire FETs. IEEE Trans. Electron Devices 2011, 58, 1371–1380. [Google Scholar] [CrossRef] [Green Version]
  23. Goodnick, S.M. Surface roughness at the Si(100)-SiO2 interface. Phys. Rev. B 1985, 32, 8171–8186. [Google Scholar] [CrossRef]
  24. Wang, X.; Brown, A.R.; Idris, N.; Markov, S.; Roy, G.; Asenov, A. Statistical Threshold-Voltage Variability in Scaled Decananometer Bulk HKMG MOSFETs: A Full-Scale 3-D Simulation Scaling Study. IEEE Trans. Electron Devices 2011, 58, 2293–2301. [Google Scholar] [CrossRef]
  25. Vardhan, P.H.; Mittal, S.; Ganguly, S.; Ganguly, U. Analytical Estimation of Threshold Voltage Variability by Metal Gate Granularity in FinFET. IEEE Trans. Electron Devices 2017, 64, 3071–3076. [Google Scholar] [CrossRef]
  26. Dadgour, H.; Endo, K.; De, V.; Banerjee, K. Modeling and analysis of grain-orientation effects in emerging metal-gate devices and implications for SRAM reliability. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 1–4. [Google Scholar] [CrossRef]
  27. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In Proceedings of the 2017 IEEE Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar]
  28. Luisier, M.; Schenk, A.; Fichtner, W. Quantum transport in two- and three-dimensional nanoscale transistors: Coupled mode effects in the nonequilibrium Green’s function formalism. J. Appl. Phys. 2006, 100, 043713. [Google Scholar] [CrossRef]
  29. Lopez-Sancho, M.P.; Lopez-Sancho, J.M.; Sancho, J.M.L.; Rubio, J. Highly convergent schemes for the calculation of bulk and surface Green functions. J. Phys. F Met. Phys. 1985, 15, 851. [Google Scholar] [CrossRef]
  30. Svizhenko, A.; Anantram, M.P. Role of scattering in nanotransistors. IEEE Trans. Electron Devices 2003, 50, 1459–1466. [Google Scholar] [CrossRef] [Green Version]
  31. Atomistix Toolkit Version 2017.2, Synopsys QuantumWise A/S. Available online: https://www.quantumwise.com (accessed on 25 September 2018).
  32. Timothy, T.; Klimeck, G.; Oyafuso, F. Valence band effective-mass expressions in the sp3d5s* empirical tight-binding model applied to a Si and Ge parametrization. Phys. Rev. B 2004, 69, 115201. [Google Scholar] [CrossRef]
  33. Paul, A.; Mehrotra, S.; Luisier, M.; Klimeck, G. Performance Prediction of Ultrascaled SiGe/Si Core/Shell Electron and Hole Nanowire MOSFETs. IEEE Electron Device Lett. 2010, 31, 278–280. [Google Scholar] [CrossRef]
  34. Fischetti, M.V.; Laux, S.E. Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys. J. Appl. Phys. 1996, 80, 2234–2252. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of the elliptical gate-all-around nanowire metal-oxide-semiconductor field-effect transistors (GAA NWFET) (a = 3 nm and b = 5 nm) highlighting variability sources. For the square and circular nanowires (NWs), a = b = 5 nm. L S = L D = 28 nm, L G = 10 nm, and L V = 26 nm. The doping concentrations in source/drain and channel regions are 1020 (n-type) and 1015 (p-type) cm−3, respectively. RDD–random discrete dopants, LER–line edge roughness and MGG–metal gate granularity.
Figure 1. Schematic diagram of the elliptical gate-all-around nanowire metal-oxide-semiconductor field-effect transistors (GAA NWFET) (a = 3 nm and b = 5 nm) highlighting variability sources. For the square and circular nanowires (NWs), a = b = 5 nm. L S = L D = 28 nm, L G = 10 nm, and L V = 26 nm. The doping concentrations in source/drain and channel regions are 1020 (n-type) and 1015 (p-type) cm−3, respectively. RDD–random discrete dopants, LER–line edge roughness and MGG–metal gate granularity.
Micromachines 09 00643 g001
Figure 2. Band structures of (a) Si and (b) Si 0.2 Ge 0.8   5 × 3 nm2 elliptical NWs. The bulk conduction band edge is set to 0.0 eV. Δ E Q is also remarked.
Figure 2. Band structures of (a) Si and (b) Si 0.2 Ge 0.8   5 × 3 nm2 elliptical NWs. The bulk conduction band edge is set to 0.0 eV. Δ E Q is also remarked.
Micromachines 09 00643 g002
Figure 3. Transfer characteristics of Si 0.2 Ge 0.8 elliptical GAA NWFETs associated with (a) random discrete dopants (RDD), (b) RDD and line edge roughness (LER) and (c) RDD, LER and metal gate granularity (MGG. The ideal device refers to a device with continuous and uniform doping profiles in the source and drain and no variability sources. Corresponding standard deviation of V th   σ ( V th ) is also indicated. V DS = 0.6 V.
Figure 3. Transfer characteristics of Si 0.2 Ge 0.8 elliptical GAA NWFETs associated with (a) random discrete dopants (RDD), (b) RDD and line edge roughness (LER) and (c) RDD, LER and metal gate granularity (MGG. The ideal device refers to a device with continuous and uniform doping profiles in the source and drain and no variability sources. Corresponding standard deviation of V th   σ ( V th ) is also indicated. V DS = 0.6 V.
Micromachines 09 00643 g003
Figure 4. Distributions of threshold voltage ( V th ) for the elliptical NWFETs with different mole fractions. RDD, LER, and MGG are taken into account.
Figure 4. Distributions of threshold voltage ( V th ) for the elliptical NWFETs with different mole fractions. RDD, LER, and MGG are taken into account.
Micromachines 09 00643 g004
Figure 5. Correlation between important FoMs for the elliptical GAA NWFETs with different Ge mole fraction. The bottom left of the table shows correlation scatter plots and the top right shows correlation coefficients which are also listed in the following order: Si (blue), Si 0.8 Ge 0.2 (magenta), Si 0.5 Ge 0.5 (red), and Si 0.2 Ge 0.8 (black).
Figure 5. Correlation between important FoMs for the elliptical GAA NWFETs with different Ge mole fraction. The bottom left of the table shows correlation scatter plots and the top right shows correlation coefficients which are also listed in the following order: Si (blue), Si 0.8 Ge 0.2 (magenta), Si 0.5 Ge 0.5 (red), and Si 0.2 Ge 0.8 (black).
Micromachines 09 00643 g005
Figure 6. Dependence of V th of the elliptical GAA NWFETs on the variability sources and the Ge mole fraction.
Figure 6. Dependence of V th of the elliptical GAA NWFETs on the variability sources and the Ge mole fraction.
Micromachines 09 00643 g006
Figure 7. Dependence of (a) V th and (b) drain induced barrier lowering (DIBL) on the Ge mole fraction and cross-sectional shape. RDD, LER, and MGG are considered
Figure 7. Dependence of (a) V th and (b) drain induced barrier lowering (DIBL) on the Ge mole fraction and cross-sectional shape. RDD, LER, and MGG are considered
Micromachines 09 00643 g007
Table 1. Calculated effective masses of Si and Si x Ge 1 x nanowires (NWs) with various cross-sectional shapes. Herein, unit is m 0 , the rest electron mass.
Table 1. Calculated effective masses of Si and Si x Ge 1 x nanowires (NWs) with various cross-sectional shapes. Herein, unit is m 0 , the rest electron mass.
DegeneracySquareCircleEllipse
m x m y m z m x m y m z m x m y m z
Si Δ x 20.9180.2400.2400.9150.2240.2240.9270.4640.146
Δ y 20.2330.9530.2370.2360.8870.2150.2410.8390.220
Δ z 20.2330.2420.8750.2360.2080.8960.2410.2060.886
Si 0.8 Ge 0.2 Δ x 20.8610.2350.2350.8490.2870.2870.8750.3210.198
Δ y 20.2400.8840.2210.2351.3420.2620.2510.7570.224
Δ z 20.2400.2200.8850.2350.2591.3660.2510.1920.905
Si 0.5 Ge 0.5 Δ x 20.7990.2410.2410.7880.2860.2860.8180.3920.179
Δ y 20.2500.8640.2240.2471.0420.2720.2680.6740.210
Δ z 20.2500.2240.8160.2470.2701.0150.2680.1940.809
Si 0.2 Ge 0.8 Δ x 20.7590.2370.2370.7390.2850.2850.7880.4480.174
Δ y 20.2660.7880.2170.2580.9520.2720.2860.6570.206
Δ z 20.2660.2130.7980.2580.2720.9580.2860.1860.828
L40.3500.1340.2970.5000.1470.4490.6000.3270.152
Table 2. Medians of I ON and I OFF for the Si x Ge 1 x nanowire metal-oxide-semiconductor field-effect transistors (NWFETs). Random discrete dopants (RDD), line edge roughness (LER), and metal gate granularity (MGG) are considered.
Table 2. Medians of I ON and I OFF for the Si x Ge 1 x nanowire metal-oxide-semiconductor field-effect transistors (NWFETs). Random discrete dopants (RDD), line edge roughness (LER), and metal gate granularity (MGG) are considered.
Si x Ge 1 x I ON (mA/μm)/ I OFF (pA/μm)
SquareCircularElliptical
Si1.59/3971.37/98.90.771/9.26
Si 0.8 Ge 0.2 1.71/4271.50/1270.862/11.7
Si 0.5 Ge 0.5 1.70/4731.51/1510.861/12.7
Si 0.2 Ge 0.8 1.84/6681.63/2100.958/18.1
Table 3. The comparison of drain induced barrier lowering (DIBL) in Si 0.2 Ge 0.8 channel devices obtained from the ideal devices and statistical simulations.
Table 3. The comparison of drain induced barrier lowering (DIBL) in Si 0.2 Ge 0.8 channel devices obtained from the ideal devices and statistical simulations.
Cross-Sectional Shape (RDD + LER + MGG)Ideal DeviceMedian
Square62.4 mV/V64.7 mV/V
Circle42.8 mV/V50.2 mV/V
Ellipse20.3 mV/V29.2 mV/V

Share and Cite

MDPI and ACS Style

Lee, J.; Badami, O.; Carrillo-Nuñez, H.; Berrada, S.; Medina-Bailon, C.; Dutta, T.; Adamu-Lema, F.; Georgiev, V.P.; Asenov, A. Variability Predictions for the Next Technology Generations of n-type SixGe1−x Nanowire MOSFETs. Micromachines 2018, 9, 643. https://doi.org/10.3390/mi9120643

AMA Style

Lee J, Badami O, Carrillo-Nuñez H, Berrada S, Medina-Bailon C, Dutta T, Adamu-Lema F, Georgiev VP, Asenov A. Variability Predictions for the Next Technology Generations of n-type SixGe1−x Nanowire MOSFETs. Micromachines. 2018; 9(12):643. https://doi.org/10.3390/mi9120643

Chicago/Turabian Style

Lee, Jaehyun, Oves Badami, Hamilton Carrillo-Nuñez, Salim Berrada, Cristina Medina-Bailon, Tapas Dutta, Fikru Adamu-Lema, Vihar P. Georgiev, and Asen Asenov. 2018. "Variability Predictions for the Next Technology Generations of n-type SixGe1−x Nanowire MOSFETs" Micromachines 9, no. 12: 643. https://doi.org/10.3390/mi9120643

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop