Next Article in Journal
Non-Intrusive Tank-Filling Sensor Based on Sound Resonance
Next Article in Special Issue
Modeling of 2DEG characteristics of InxAl1−xN/AlN/GaN-Based HEMT Considering Polarization and Quantum Mechanical Effect
Previous Article in Journal
A Fine Frequency Estimation Algorithm Based on Fast Orthogonal Search (FOS) for Base Station Positioning Receivers
Previous Article in Special Issue
Optimization of Line-Tunneling Type L-Shaped Tunnel Field-Effect-Transistor for Steep Subthreshold Slope
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Comprehensive Review of Recent Progress on GaN High Electron Mobility Transistors: Devices, Fabrication and Reliability

1
Department of Electrical and Electronic Engineering, Southern University of Science and Technology, Shenzhen 518055, Guangdong, China
2
Materials Characterization and Preparation Center, Southern University of Science and Technology, Shenzhen 518055, Guangdong, China
3
Shenzhen Key Laboratory of the Third Generation Semi-conductor, Shenzhen 518055, Guangdong, China
4
GaN Device Engineering Technology Research Center of Guangdong, Shenzhen 518055, Guangdong, China
*
Authors to whom correspondence should be addressed.
Electronics 2018, 7(12), 377; https://doi.org/10.3390/electronics7120377
Submission received: 31 October 2018 / Revised: 20 November 2018 / Accepted: 27 November 2018 / Published: 3 December 2018
(This article belongs to the Special Issue Nanoelectronic Materials, Devices and Modeling)

Abstract

:
GaN based high electron mobility transistors (HEMTs) have demonstrated extraordinary features in the applications of high power and high frequency devices. In this paper, we review recent progress in AlGaN/GaN HEMTs, including the following sections. First, challenges in device fabrication and optimizations will be discussed. Then, the latest progress in device fabrication technologies will be presented. Finally, some promising device structures from simulation studies will be discussed.

1. Introduction

Nitride-based wide band-gap semiconductors (WBS), such as GaN and related alloys, have been intriguing to high power and high frequency researches and applications over the past two decades, as reviewed in the literatures [1,2,3,4,5,6,7,8,9,10]. They are promising because of their intrinsic superior material properties, especially with their outstanding electrical performance exhibited in the GaN-based high-electron mobility transistors (HEMTs), also known as the heterojunction field-effect transistor (HFET). GaN-based HEMTs on silicon substrate are particularly appealing to the IC industry due to its compatibility with the industry-matured Si-CMOS IC technologies. Tremendous research and development work has been conducted and reported in the recent years with significant progresses on GaN-on-Si HEMTs covering the full scope of a new IC-chain, including the industrial acceptable-low defect quality of GaN-on-Si epitaxial materials, the optimized GaN-based HEMT devices and integration [11,12,13,14,15,16,17], the significantly enhanced reliability [18,19,20,21,22,23,24], the comprehensive circuit and device modeling [25,26,27] and the product designs [19,28,29,30,31,32,33,34].
The GaN-based HEMTs possess better performance than the silicon counterparts, such as they can be operated at higher frequency, higher power and higher temperature conditions. These advantages are benefited from III-nitrides’ high electron saturation velocity, high breakdown electrical field, high electron mobility and high carrier density of the two-dimensional electron gas (2DEG) formed at the heterointerface between AlGaN and GaN layers in the GaN HEMTs.
GaN power transistors have been in volume production since 2010. AlGaN/GaN HEMT, however, is inherently normally-ON (depletion-mode, D-mode) as it was first reported in 1993 [35]. Thus their primary applications having been initially focused on the low-voltage and high-frequency applications. For the power switching applications, on the other hand, normally-OFF (enhancement-mode, E-mode) transistors are required. It is not only for the concern of safety but also necessary to reduce the current leakages thus minimize the power loss, simplify the driving circuit and improve the device stability. Ever since the initial demonstration of the enhancement-mode AlGaN/GaN HEMT in 1996 [36] and furthermore in 2000 [37], several approaches have been used to make normally-OFF GaN-based HEMTs as reviewed previously [38] and more recently [8,39]. Besides the hybrid approach with GaN HV-HEMT and Si LV-MOSFET in a cascade configuration, AlGaN/GaN-on-Si HEMTs with direct GaN gate control [40,41] have attracted much attention commercially in the recent years due to its size thus cost. GaN-on-Si is acknowledged as a promising device platform for further exploration of commercial high-power modules with higher power density [4,9,33,34]. Moreover, owing to the availability of both depletion-mode and enhancement-mode of GaN HEMTs, they have invoked research interests and efforts in the IC industry for complementary logic applications [9,31].
This paper reviews the recent progress in the GaN-on-Si normally-OFF AlGaN/GaN HEMTs based on recent literature. The following aspects will be covered: devices in Section 2, device fabrication in Section 3 and some promising structures from simulation studies in Section 4.

2. AlGaN/GaN HEMT Device

For conventional semiconductor materials, the free charges come from the impurity ionization. In an AlGaN/GaN heterojunction, the polarization effects give rise to a high density (>1 × 1013 cm–2) of electron gas at the interface between AlGaN and GaN, even without intentional doping. The AlGaN/GaN HEMTs can provide not only high electrical conductivity with low on-state resistance but also low input and output capacitance, thus inherently promising for high voltage and high frequency applications.
A conventional Schottky gate D-mode GaN HEMT device structure is schematically shown in Figure 1a. The source and drain metal stacks facilitate the Ohmic contacts. The gate metal stack provides a Schottky contact. As a voltage bias of VDS is applied between the drain and source, a lateral electrical field is built and the 2DEG under the gate flows along the channel of AlGaN/GaN heterojunction as HEMT’s current, IDS. If the gate voltage is below the threshold voltage of HEMT while the drain is biased high, the device runs into the block region.
When the AlGaN/GaN HEMT in Figure 1a is inserted with a p-type GaN layer between the gate electrode and the AlGaN barrier layer, it forms a so-called p-GaN E-mode HEMT as shown in Figure 1b. With sufficiently high p-type doping (e.g., Mg) and an adequately thick p-GaN layer, the 2DEG below the gate would be depleted, which lead to a positive threshold voltage for the normally-OFF operation. Meanwhile, the low on-state resistance and high driving capability can be preserved with the 2DEG in the region between the gate edge and the drain. More advanced research work has been done in order to solve dynamic on-state resistance issues in GaN HEMTs. One of these successful examples has the current collapse suppressed up to 850 V [42]. There are also several varieties of p-GaN HEMTs as thoroughly reviewed in References [8,39], such as the gate injection transistor (GIT) [42].
Several advanced types of AlGaN/GaN FET devices have been explored recently. Among of them, the GaN MOS or MIS (metal-insulator-semiconductor) HEMTs has drawn most of the attention [9,14,28,43], so do the MISHEMTs with high-K gate dielectric [17,31,44]. Other new devices with a regrowth of AlGaN layer [41], or a regrowth of GaN drift channel layer [45] have also been reported. The MIS HEMT has the advantages over the Schottky gate GaN HEMT in terms of gate leakage and gate swing [46]. The gate dielectrics reliability, however, is one of the most important aspects to be well investigated and understood before it can be commercialized.
The performance of some recently published p-GaN HEMT devices [19,42,47,48] is compared and shown in Figure 2. It depicts the p-GaN HEMTs with excellent specific on-resistance Ron,sp as low as a few mΩ∙cm2 while with the breakdown voltages larger than the theoretical limit of silicon.
Figure 3 demonstrates the preliminary DC characteristics of the fabricated p-GaN E-mode AlGaN/GaN HEMT. The device gate width is about 500 μm. The metal stack for the source and drain Ohmic contacts was formed with Ti/TiN/Al/TiN and was annealed at 650 °C for 30 s. And the metal stack for Schottky contact for the p-GaN gate was formed with Ti/Al. The detailed process information will be discussed in a subsequent publication. The measurements were carried out with keithley 4200-SC. The transfer characteristics (IDS-VG) of the device is shown in Figure 3a and the output characteristics (IDS-VDS) of the device is illustrated in Figure 3b. The threshold voltage (Vth) of the fabricated device is about 1.5 V and the gate voltage swing is up to 10 V.
One of the challenges of making reliable p-GaN gate enhancement-mode HEMTs is to obtain controllable Vth with a reasonable variation across the wafer. It is found that Vth is sensitive to the p-GaN gate profiles, p-GaN layer residues, AlGaN layer thickness around the gate edges and also surface morphology of the AlGaN layer after the p-GaN etching. The threshold voltage instability can be explained by the charge control model due to the charge-transferring effect [49,50]. The enhancement of threshold voltage in E-mode AlGaN/GaN devices is mainly due to the space charge alteration under the gate, while defects can alter the charges via trapping and de-trapping processes during device operations. The fixed defects in the GaN and AlGaN layers can act like traps offering electron transferring paths between the barrier layer and the substrate, which can generate potential shift and energy band bowing, thus Vth drift. Therefore, the reduction of defects is mandatory for reducing the charge variations so as to control the threshold voltage instability and reliability. Achieving low defects in III-nitrides layers, however, requires careful process engineering and optimizations.
Regarding to the device reliability of GaN-on-Si HEMTs [51], it has been recently figured out that the epitaxial quality of materials is related to a key industrial reliability item: the high temperature reverse bias (HTRB) stress-induced on-state drain current degradation [18]. It was confirmed [18] that the optimization of epitaxial layers could significantly improve the device reliability of AlGaN/GaN HEMTs.
We have also studied the dielectric failure based on the time dependent dielectric breakdown (TDDB) measurement at different temperatures with statistical Weibull analysis [24]. The lifetime of the devices with 35-nm-thick LPCVD SiNx gate dielectric was predicted to have a 10-year time-to-breakdown. This work also demonstrated the impacts of gate dielectric area and multi-fingers on the SiNx TDDB characteristics.

3. AlGaN/GaN HEMT Device Fabrication

Device processing technologies play important roles to achieve the full-potential and remarkable features of AlGaN/GaN HEMTs. The process modules for the GaN HEMT device fabrication include device isolation, p-GaN gate formation, contacts for source and drain, contact for gate, surface passivation and so forth. In this section, we will focus on some of the key process technologies for the fabrication of p-GaN E-mode AlGaN/GaN HEMTs, discuss some of the challenges and review recent progresses.

3.1. Device Isolation

Device isolation is the fundamental process to separate the electrical connection of adjacent devices, so as to minimize the impact of current leakage from their neighbors. Mesa dry etching and ion implantation are two of the commonly used and effective isolation approaches as shown in Figure 4.

3.1.1. Mesa Etching

The mesa etching step is to form an isolated area for each device and the material is removed physically around the devices by this process, as shown in Figure 4a. The etching depth is crucial for the isolation results or device leakage current. Under-etch of the mesa could result in an incomplete isolation, thus the devices performance would be impacted. The conventional wet etch techniques are not suitable for GaN device fabrication. The large bond energies and wide band-gaps make them highly resistant to acid and alkaline solutions at room temperature. Moreover, the wet etching of GaN shows isotropic profile and a slower etching rate than the dry etching techniques. Therefore, most of III-nitrides etching processes are conducted by dry etching [52].
Dry etching can be carried out by reactive ion etch (RIE), electron cyclotron resonance (ECR), inductively coupled plasma (ICP), electron cyclotron resonance (ECR), magnetron reactive ion etching (MIE) and so forth. [53]. RIE is one of the common methods for III-nitrides dry etching but it has slow etching rate, low degree of anisotropy and large surface damages due to the low plasma density and high operating pressure inherent in this technique [54]. Whereas ICP is expected to produce the etching results with low damages, high etching rates and high uniformity. Thus ICP etching has become the dominant dry etching technique for III-nitrides.
The dry etching rate can reach to the level of micron per minute handily [55,56]. However, many factors need to be considered to evaluate the dry etching quality of GaN and AlGaN, such as the surface morphology, sidewall profile, etching anisotropy, material selectivity, material damage, etching uniformity and so forth. These etching features can be affected by the etching conditions, including reactive chemistries and their fluxes, ICP antenna RF power, bias RF power, chamber pressure, chamber temperature, chamber configuration, etching technique and so forth.
The basic chemistries employed in the III-nitrides dry etching are chlorine based, such as Cl2 and BCl3 [57]. Moreover, Ar [52], N [54], H [58] and F [59] based chemistries can also be added to achieve better etching rate, selectivity and surface morphology [60]. Study [57] showed that the etching rate was found to increase with the ICP antenna RF power up to a certain level (e.g., 600 W). But further increased in antenna RF power could decrease the etching rate. It is noticed [54] that a high antenna RF power would cause the decrement of the DC bias voltage and thus resulting in a reduction of the ion-bombardment physical effect introduced by dry etching. High energy ion-bombardment would lead to surface roughness, material surface damages and low etching selectivity. Wakejima et al. [61] reported that the reasonably low bias RF power can be used to get high selectivity and low surface damage. Moreover, chamber pressure also has an impact on the etching rate. Increase chamber pressure (within the range of less than 10 mTorr) can help raise the etching rate. But further increase chamber pressure would not keep increasing the etching rate effectively. The mean free path of reacting molecules is short and the plasma density is low at high pressure. Re-deposition and polymer formation on the surfaces would also be favored at high pressure, which is undesirable [57].

3.1.2. Ion Implantation

Ion implantation is the other isolation process which is promising to product high performance GaN based HEMTs devices [15]. Ion implantation is an adding process, as shown in Figure 4b. The ion species can be used to isolate devices including H, He, N, P, Ar, O and so forth [62,63,64,65,66,67]. In the implantation process, atoms are added into the nitrides by means of energetic ion beam injection. Ion implantation has a better ability to control both of the dopant concentration and depth. The concentration can be adjusted by the ion beam current and the implantation duration, while the depth can be controlled by the ion energy.
The ion implantation is a room-temperature process; thus photoresist can be used as the mask. The ion species that implanted can be exactly selected by the mass analyzer, thus the contamination risk can be reduced. Moreover, the ion implantation is an anisotropic process, the side boundary of the implanted ions in the semiconductors can be straight. Thus the isolated area can be precisely defined. However, for the purpose of minimizing the channeling effect, the wafers are always placed with a tilted angle of about 7°.
Comparing to the mesa etching process, the ion implantation creates the isolation boundary under the surface, which can protect the device sidewalls. Therefore, better device electrical performance and reliability are expected.

3.2. P-GaN Gate Formation

To achieve the E-mode GaN based HEMTs, the structure of p-GaN HEMTs has been widely used [51]. As shown in Figure 5, the basic structure of the p-GaN HEMT consists of the p-GaN cap layer, AlGaN barrier layer, GaN buffer layer, Si substrate and so forth. The energy bands of AlGaN are lifted up by the p-GaN cap layer, leading to the depletion of the 2DEG underneath. The p-GaN should be removed except for the gate area. The dry etching is widely used for this step. One of the key factors to achieve high performance device and high yield is the selectivity etching of GaN over AlGaN. The selectivity of Cl2/BCl3 plasma between GaN and AlGaN is unable to meet the requirement. Therefore, two approaches have been recommended to achieve this process of selective dry etching. One is the fluorine based chemistries etching technique and the other is oxygen based chemistries etching technique.
The etching selectivity of compound semiconductor films containing Al can be optimized in Cl-based plasmas by adding F-containing gases. For example, the BCl3/SF6 selective dry etching on AlGaN/GaN, the decrease in AlGaN etching rate is due to the formation of non-volatile AlF3 residues on AlGaN surface. This non-volatility of AlF3 reduces the etching efficiency of chlorine, achieving selective etching of GaN over AlGaN.
The other option is to use the O2 and chlorine based gases. Shawn et al. [68] demonstrated a cyclical two-step etching technique using separate O2 and BCl3 plasmas. The low power oxygen plasma creates an oxidized layer on the surface of AlGaN/GaN and then the low-power BCl3 plasma is used to remove the surface oxide. The etching rate per cycle is about 0.7–2.5 nm, depending on the process parameters. Wong et al. [69] investigated highly selective dry etching with O2 and chlorine gases. Their study showed that the O2 flow has evident impacts on the etching rate and selectivity of AlGaN/GaN structure. Increasing O2 flow (0–5 sccm) resulted in decrease etching rates for both GaN and AlGaN. The selectivity was increased at the O2 flow range of 0–2 sccm and then descended when O2 flow arrived at 3 sccm. Moreover, they reported that the surface roughness was improved with a higher O2 flow.
To achieve high etching selectivity, some new structures have been developed. Chiu et al. [70] reported that they added an AlN etching stop layer between p-GaN and AlGaN, that is, the p-GaN/AlN/AlGaN/GaN structure, to improve the p-GaN etching selectivity. With the BCl3 and CF4 gas mixture, the etching rate can be slowed down remarkably on AlN layer due to the non-volatility of AlF3. Then they obtained a better uniformity of Vth compared with the conventional structure. The standard deviation of Vth from the devices with AlN stop layer was about 0.06 V, whereas the data from the traditional ones was 0.2 V. Moreover, they further employed N2O as the oxidant [71] with the same AlN stop layer and achieved further improved uniformity. The p-GaN was oxidized to Ga2O3 by N2O plasma and then the Ga2O3 was removed using HCl solution in one cycle. After p-GaN was fully removed, the AlN etching stop layer was exposed and oxidized to Al2O3 by N2O plasma. Since the etching rate of this Al2O3 in HCl solution was extremely low, the selective etching of AlGaN/GaN was achieved. They claimed that the uniformity of device on-state resistance (Ron) and the surface leakage current were improved both by this technique.
For the purpose of controlling the uniformity of threshold voltage, reducing the device leakage current and improving device reliability performance, more efforts have to be made to achieve smooth surface morphology, low material damages, high etching selectivity and outstanding etching uniformity.

3.3. Ohmic Contacts for Source and Drain

The Ohmic contacts provide the access points for the device to connect with external circuitry. Their resistance should be very low with respect to that of the channel drift region to reduce the device specific on-resistance. It is rather challenging to make good Ohmic contacts on GaN based materials, owing to the wide band-gap which naturally facilitates Schottky contacts. For the high performance p-GaN HEMT, the source/drain Ohmic contact resistance (Rc) should be minimized. Thus the work function metal layers, the thickness of metal layers, annealing temperature, semiconductor doping level, recess depth of AlGaN layer and so forth. are all important factors to be optimized. The structure of source and drain contacts are displayed in Figure 6.
Single metal layer is not commonly used such as Ti or Al, due to their chemical activity with oxidant, especially for high power devices which often work at high temperatures. Therefore, different metal stacks with multiple layers have been proposed and explored. These metal stacks can be categorized into two groups, Au-based metal stacks and Au-free metal stacks. Au-based metal stacks have been studied for many years and become mature gradually. The most used stacks include Ti/x/x/Au structures [72], where x represents metals such as Al, Ni, Ti, Mo and so forth. On the other hand, the Au-free metal stacks are highly recommended recently for CMOS compatible process. The process compatibility with current Si wafer line is a fundamental factor of whether the GaN based devices can be fabricated in foundries, or even in the reused 6 or 8 inch Si wafer process lines. This means metals such as Au and Cu need to be excluded from the front-end-of-line.
Ti/Al/Ni/Au is widely used for source and drain Ohmic contacts. Studies [73,74] showed that the formation of Ohmic contacts for Ti based metal stacks is due to the reaction between Ti and GaN. TiN is formed at the interface by which N vacancies are created as donors to increase the carrier concentration resulting in a thinner barrier for electron tunneling [73]. In the Ti/Al/Ni/Au metal stack, thickness of each metal layer needs to be optimized to achieve low contact resistance and smooth surface. Ti/Al thickness ratio in the metal stack is one of the key factors for the formation of low contact resistance [75]. Research showed that for a given Ni/Au thickness ratio, the lowest contact resistance is obtained at Ti/Al ratio of 1/6 when annealing at 900 °C in N2 ambient [76]. Moreover, the total thickness of Ti/Al also strongly influences the final contact resistance. Generally, the contact resistance below 0.6 Ω∙mm can be commonly obtained in the Ti/x/x/Au metallization.
Au-free processes have been reported for many kinds of metal stacks. Beyond the consideration of compatibility with the Si-wafer-process-line, the research of Piazza et al. [77] demonstrated that long term thermal stress of Ti/Al/Ni/Au metal stack could led to a dramatic degradation of the structure. This could be a barrier for the high power applications of the GaN based devices. Lee et al. [11] reported Ti/Al/W metal stack for Ohmic contacts. The contact resistance was lower than 0.5 Ω∙mm with the annealing temperature of 870 °C. The surface morphology of the Ti/Al/W metal stack was much smoother than that of the Ti/Al/Ni/Au metal stack.
Annealing temperature of metal stack is important for the formation of Ohmic contacts. Most Au-based and Au-free metal structures are annealed around 800 °C [72,78], while some work reported low temperature (lower than 700 °C) annealing to achieve Ohmic contacts [79,80].
Liu et al. [81] reported the Hf/Al/Ta metal stack on InAlN/GaN with the annealing temperature of 600 °C. The results from TEM and SIMS measurements revealed contacts with smooth metal-semiconductor interface and showed the formation of Hf-N and Hf-Al alloys near the interface. They achieved a specific contact resistance (ρc) of 6.7 ± 0.58 × 10−6 Ω∙cm2 at 600 °C annealing temperature. Lin et al. [82] presented a low Ohmic contacts resistance formed by sidewall contacts with Ohmic recess. They used a Ta/Al/Ta metal stack with the annealing temperature of lower than 600 °C. For the recess depth of 10 nm, they achieved contact resistance of lower than 0.25 Ω∙mm. Ti/Al/TiN metal stack is also used for Ohmic contacts. Firrincieli et al. [80] employed the Ti/Al/TiN metal stack annealing at 550 °C in N2 ambient and achieved a contact resistance of 0.62 Ω∙mm. This metal stack was also shown to pass a high current of 0.6 A/mm when 10 V bias was applied.
Recently, Yoshida and Egawa [83] reported Ti/Al/W Ohmic contacts on AlGaN/GaN. The contact resistance was about 0.358 Ω∙mm with a low annealing temperature of 500 °C. They found that thinner Ti layer can lead to a lower annealing temperature, because Al needs to diffuse through the Ti layer in order to make Ohmic contact with AlGaN. Pozzovivo et al. [84] studied the effects of a special SiCl4 plasma treatment prior to the Ohmic metallization. They achieved a sufficiently low contact resistance of 0.7 Ω∙mm at a reduced anneal temperature of 600 °C. The contact surfaces of AlGaN were directly treated by the SiCl4 plasma for 15 s in RIE with self-induced bias of about 300 V. They suggested that the SiCl4 plasma treatment of AlGaN surface would help to enhance the generation of N vacancies, which may be responsible for the high carrier concentration at the AlGaN surface to form a low contact resistance. The work from Graff et al. [75] exhibited consistent results of low contact resistance with the pre-treatment of SiCl4.
The low annealing temperatures and the Au-free metal stacks would be beneficial to the device electrical and reliability performance [83] and are also compatible with foundry CMOS processes which is plausible for transferring to large scale production.

3.4. Contact for Gate

For the p-GaN E-mode HEMTs, the Schottky contacts on gate are generally adopted because it is expected to have lower gate leakage current and higher thermal stability. Figure 7 illustrates the gate structure of a typical p-GaN HEMT. The metal-semiconductor Schottky barrier height is depending on the difference between the work function of metal and the electron affinity of semiconductor. Metal with lower work function should give a higher barrier height for p-GaN contact. The Ti/Al [85], Ni/Au [86], W [87] and so forth. are used for gate metals. Greco et al. [85] studied the impacts of the thermal budget on the Schottky gate metals of Ti/Al. They found annealed with 800 °C of Ti/Al stack resulted in a higher gate leakage current than that of annealed at lower temperature. The decrease of the Schottky barrier height from 2.08 to 1.60 eV with annealing temperature increased to 800 °C was attribute to the structural modification occurring at the interface, which explained the increase of the leakage current.
Ťapajna et al. [88] studied the Schottky gate reliability under forward bias stress of a p-GaN HEMT. They concluded that the generation-recombination centers were created during stress due to the defect percolation process. This stress-induced generation of defects formed the leakage path across the structure once sufficient defects are generated. Wu et al. [89] revealed that the gate breakdown phenomenon could be explained by the avalanche multiplication in the space charge region of the Schottky metal/p-GaN junction. Tallarico et al. [90] concluded that the time to failure is a function of the initial Schottky gate leakage and the breakdown mechanism is ascribed to the percolation path in the p-GaN layer. Stockman et al. [91] studied the Schottky gate leakage current under the reverse gate bias. Two efficient methods to suppress the perimeter-dependent leakage current were found. One is by improving Schottky/p-GaN interface quality to reduce the supply of carriers from the gate metal, the other is by making proper passivation of the p-GaN sidewalls to reduce the interface states and surface roughness.
Yu et al. [92] also concluded that the gate leakage current is likely due to a highly doped and/or highly defective surface layer, resulting in carrier transport by tunneling across the Schottky barrier. Lu et al. [86] demonstrated the results of employing surface treatment and post-gate annealing to improve the Schottky gate leakage. The surface treatment reduced the lateral surface leakage by removal of the surface traps. The vertical gate tunneling current, on the other hand, was lowered through improved Schottky contact quality after thermal annealing. The off-state leakage current was reduced by about 7 orders after the implementation of the two-step treatment.
Besides the approaches of using the Schottky contacts, an Ohmic gate contact is suggested by Panasonic [42]. They reported that the hole-injection from the p-GaN gate into the GaN channel could have a positive impact on the p-GaN gate module.
As we can see, the gate metallization for p-GaN E-mode HEMTs has profound impacts on the device performance. For Schottky gate contacts, low gate leakage current and high thermal stability are required.

3.5. Surface Passivation

Although significant progress has been achieved to improve the performance of GaN devices, noticeable gaps still remain between demonstrated device performance in the real market applications and the theoretical expectations. The existence of large amount of surface states in AlGaN and GaN have been found to be the root cause of device current collapse and some reliability issues, which is one of the main challenges in fabricating high performance AlGaN/GaN HEMTs [93,94,95,96,97]. These surface states may come from dangling bonds of the surface atoms, defects at the surface as grown, plasma damages during the processes, the foreign contaminations and so forth. And they behave like carrier traps thus can seriously deteriorate the device performance. Furthermore, the charged surface states can act as a virtual gate and lead to the depletion of channel electrons, thus decreasing the channel 2DEG density [98]. The trapped charges at the surface can also contribute to the remote Coulomb scattering to the channel electrons. Therefore, surface passivation should be carefully optimized to prevent negative effects on device performance such as current collapse, frequency dispersion, large dynamic on-resistance and so forth.
The basic structure of surface passive layer is illustrated in the Figure 6. Many different insulation materials have been explored as the surface passivation layer for AlGaN/GaN HEMTs, including SiO2 [93,99], Si3N4 [100], ZrO2 [94,101], HfO2 [95,96], Ga2O3 [43,102], AlN [103,104,105,106], Sc2O3 [107], TiO2 [108], ZnO2 [109], NiO [110], Ta2O5 [111], Al2O3 [112,113,114], AlON [43] and so forth. In addition to the surface passivation, dielectric-free passivation technologies have also been proposed and demonstrated, for example, oxygen plasma oxidization [115,116], ozone oxidization [117], chemical oxidization [118], SiH4 treatment [119] and so forth. AlN is found to be a good surface passivation layer which can create a sharp interface and suppress GaN surface oxidation effectively [120]. Recently, Panasonic developed a passivation technology with AlON layer [43]. The AlON layer was deposited by atomic layer deposition (ALD) possessing a better performance with less process damage, less extra fixed charges and less electron traps in comparison with Al2O3 layer. Moreover, O2 annealing after the AlON deposition could further reduce the Al/Ga dangling bonds at the surface.
It is known that GaN can be oxidized in the air forming a layer of native gallium sub-oxide (GaOx) on the surface. Defect states associated with the GaOx further exacerbate gate leakage current and lead to drain-current collapse at high frequency [121,122,123]. Dong et al. [124] have proposed a method to reduce the surface states by changing the GaOx morphology via an elevated-temperature. The ordering of native GaOx layer can be improved towards the structure of bulk Ga2O3, therefore surface states can be reduced. Bae et al. [125] used a low-temperature treatment to enhance the quality of GaOx formed at the SiO2/GaN interface and thus reduce the interface defect density. Therrien et al. [126] demonstrated a microscopic mechanism that could reduce the defect density at the high-K/GaN interface through the formation of a high-quality Ga2O3 layer during remote-plasma-assisted oxidation. It should be noted that the high dielectric constant of the surface passivation layer can also increase the parasitic capacitance between the gate and source (Cpgs)/drain (Cpgd) metals and thus decrease the device’s speed [127]. In summary, surface passivation with dielectric layers should be carefully selected to improve device performance, eliminate the current collapse and reduce the dynamic on-resistance.

3.6. Field Plates

As we have mentioned above, GaN based HEMTs suffer the effect of current collapse [128], which can temporary increase the dynamic on-resistance due to the charge trapping effects. One reason is that the strong electric field at the gate edge on the drain side could enhance the charge trapping in the states at the interface between passivation and III-nitrides. Moreover, the hot-electron injection effect is considered to be the other reason for the current collapse [129]. Surface passivation [130] and field-plate [131] are two effective solutions for this issue.
The employment of a field plate on dielectric layer of the AlGaN/GaN HEMT has brought some of the most significant and exciting improvements on current collapse [129]. The function of the field plate is to redistribute the electric field profile and decrease the electric field peak value, hence reducing trapping effect and increasing breakdown voltage, as presented in Figure 7. Recently, Wong et al. [132] demonstrated a novel asymmetric field plate structure. The electric field was appropriately distributed by the slanted field plate. They obtained a uniform electric field distribution with the slant field plate, achieved a reduced dynamic on-resistance of 2.3 Ω∙mm at the drain voltage of 50 V with a high breakdown voltage up to 138 V. Ma et al. [133] studied the effects of surface treatment and field plate structures on the current collapse of the AlGaN/GaN HEMTs. Their results showed that the gate field plate predominantly reduced the emission time constant of the trapped electron and O2 plasma treatment decreased the density of traps. And they confirmed that the current collapse can be mitigated by the combination of these two methods.

4. AlGaN/GaN Device Simulation

The technology-computer-aided-design (TCAD) simulations are often applied to study the AlGaN/GaN HEMT. The focus is mainly on the E-mode mechanism as well as the methods of increasing the threshold voltage of the HEMTs [134,135]. And the simulations have also been used to study the gate leakage current [136,137], the device reliability [138], the short channel effects [139], current collapse [140] and so forth. For the simulation of the AlGaN/GaN HEMTs, the most important work is to solve the 2DEG concentration which is generated by spontaneous polarization (Psp) and piezoelectric polarization (Ppe) [141], as shown in Figure 8. The two polarizations are influenced by many factors, such as the materials of substrate and epitaxial layers, crystallographic plane orientation of III-nitrides, AlN composition of AlGaN layer, thickness of AlGaN layer, relaxation of AlGaN layer, doping concentration in AlGaN layer, thickness of GaN cap layer, fabrication process and so forth [142,143,144,145,146,147,148,149]. For the p-GaN HEMTs simulation, there are many valuable studies have been reported [39,142,143,144,145,150]. For example, Fujii et al. [151] presented the control of threshold voltage by the variation AlGaN barrier layer thickness and AlN molar fraction. Efthymiou et al. [152] investigated effects of the p-GaN doping and gate metal work function on the threshold voltage of devices. Bakeroot et al. [153] developed an analytical model for calculation of the threshold voltage for p-GaN HEMTs, they studied the contributions of p-type doping profile, AlN molar fraction of AlGaN layer and AlGaN layer thickness to the threshold voltage.
Moreover, in addition to the structures of p-GaN gate, recess gate and F-treatment, some novel E-mode HEMTs have been also proposed and studied through simulations. Huang et al. [154] simulated an E-mode HEMT with a floating gate in the gate dielectric. The results showed that combining with the gate recess, the Vth of the devices could be larger than 3 V with a low sheet density of ~1012 cm−2. Wang et al. [155,156] simulated an E-mode HEMT with spilt floating gate. It is shown that such kind of device can improve the charge retention time comparing with the single floating gate HEMTs [157]. Duan et al. [158] simulated an E-mode HEMT with Groove-type channel and the normally-off function was based on the anisotropic polarization of GaN.

5. Summary

In this review, we have evaluated the recent progress of GaN based E-mode HEMTs. First, the typical device performance has been presented. And then we focused on some of the key technologies for fabricating p-GaN E-mode AlGaN/GaN HEMTs, including device isolation, p-GaN gate formation, Ohmic contacts for source and drain, contact for gate, surface passivation and field plate. For the material and device simulations, we reviewed some of the promising structures for achieving high performance E-mode devices. In summary, this paper provides the recent developments and existing challenges of p-GaN E-mode AlGaN/GaN HEMTs, we hope this could be useful for the study of E-mode AlGaN/GaN HEMTs.

Author Contributions

All the authors contributed equally. All the authors read and approved final manuscript.

Funding

This work was funded by Research of Low Cost Fabrication of GaN Power Devices and System Integration (grant number: JCYJ20160226192639004), Research of AlGaN HEMT MEMS Sensor for Work in Extreme Environment (grant number: JCYJ20170412153356899) and Research of the Reliability Mechanism and Circuit Simulation of GaN HEMT (grant number: 2017A050506002).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Treu, M.; Vecino, E.; Pippan, M.; Haberlen, O.; Curatola, G.; Deboy, G.; Kutschak, M.; Kirchner, U. The role of silicon, silicon carbide and gallium nitride in power electronics. In Proceedings of the 2012 International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 7.1.1–7.1.4. [Google Scholar]
  2. Ueda, D. Renovation of power devices by GaN-based materials. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 16.4.1–16.4.4. [Google Scholar]
  3. Piedra, D.; Lu, B.; Sun, M.; Zhang, Y.; Matioli, E.; Gao, F.; Chung, J.W.; Saadat, O.; Xia, L.; Azize, M.; et al. Advanced power electronic devices based on Gallium Nitride (GaN). In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 16.6.1–16.6.4. [Google Scholar]
  4. Deboy, G.; Treu, M.; Haeberlen, O.; Neumayr, D. Si, SiC and GaN power devices: An unbiased view on key performance indicators. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 20.2.1–20.2.4. [Google Scholar]
  5. Yu, H.; Duan, T. Gallium Nitride Power Devices; Pan Stanford: Singapore, 2017. [Google Scholar]
  6. Lidow, A.; Strydom, J.; de Rooij, M.; Reusch, D. GaN Transistors for Efficient Power Conversion; Willey: New York, NY, USA, 2015. [Google Scholar]
  7. Meneghini, M.; Gaudenzio, M.; Zanoni, E. Power GaN Devices—Materials, Applications and Reliability; Springer: New York, NY, USA, 2017. [Google Scholar]
  8. Chen, K.J.; Haberlen, O.; Lidow, A.; Tsai, C.L.; Ueda, T.; Uemoto, Y.; Wu, Y. GaN-on-Si Power Technology: Devices and Applications. IEEE Trans. Electron Devices 2017, 64, 779–795. [Google Scholar] [CrossRef]
  9. Tsai, C.-L.; Wang, Y.-H.; Kwan, M.H.; Chen, P.C.; Yao, F.W.; Liu, S.C.; Yu, J.L.; Yeh, C.L.; Su, R.Y.; Wang, W.; et al. Smart GaN platform: Performance & challenges. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 33.1.1–33.1.4. [Google Scholar]
  10. Meneghesso, G.; Meneghini, M.; Zanoni, E. Gallium Nitride-Enabled High Frequency and High Efficiency Power Conversion; Springer: New York, NY, USA, 2018. [Google Scholar]
  11. Hyung-Seok, L.; Dong Seup, L.; Palacios, T. AlGaN/GaN High-Electron-Mobility Transistors Fabricated through a Au-Free Technology. IEEE Electron Device Lett. 2011, 32, 623–625. [Google Scholar] [CrossRef]
  12. Stoffels, S.; Zhao, M.; Venegas, R.; Kandaswamy, P.; You, S.; Novak, T.; Saripalli, Y.; Van Hove, M.; Decoutere, S. The physical mechanism of dispersion caused by AlGaN/GaN buffers on Si and optimization for low dispersion. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 35.4.1–35.4.4. [Google Scholar]
  13. Marcon, D.; Saripalli, Y.N.; Decoutere, S. 200mm GaN-on-Si epitaxy and e-mode device technology. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 16.2.1–16.2.4. [Google Scholar]
  14. Zhang, Z.; Li, B.; Tang, X.; Qian, Q.; Hua, M.; Huang, B.; Chen, K.J. Nitridation of GaN surface for power device application: A first-principles study. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 36.2.1–36.2.4. [Google Scholar]
  15. Wang, J.; Cao, L.; Xie, J.; Beam, E.; McCarthy, R.; Youtsey, C.; Fay, P. High voltage vertical p-n diodes with ion-implanted edge termination and sputtered SiNx passivation on GaN substrates. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 9.6.1–9.6.4. [Google Scholar]
  16. Hashizume, T.; Nishiguchi, K.; Kaneki, S.; Kuzmik, J.; Yatabe, Z. State of the art on gate insulation and surface passivation for GaN-based power HEMTs. Mater. Sci. Semicond. Process. 2018, 78, 85–95. [Google Scholar] [CrossRef]
  17. Kim, Z.-S.; Lee, H.-S.; Na, J.; Bae, S.-B.; Nam, E.; Lim, J.-W. Ultra-low rate dry etching conditions for fabricating normally-off field effect transistors on AlGaN/GaN heterostructures. Solid-State Electron. 2018, 140, 12–17. [Google Scholar] [CrossRef]
  18. Wong, K.-Y.; Lin, Y.S.; Hsiung, C.W.; Lansbergen, G.P.; Lin, M.C.; Yao, F.W.; Yu, C.J.; Chen, P.C.; Su, R.Y.; Yu, J.L.; et al. AlGaN/GaN MIS-HFET with improvement in high temperature gate bias stress-induced reliability. In Proceedings of the 2014 IEEE 26th International Symposium on Power Semiconductor Devices & IC’s (ISPSD), Waikoloa, HI, USA, 15–19 June 2014; pp. 55–58. [Google Scholar]
  19. Man Ho, K.; Wong, K.Y.; Lin, Y.S.; Yao, F.W.; Tsai, M.W.; Chang, Y.C.; Chen, P.C.; Su, R.Y.; Wu, C.H.; Yu, J.L.; et al. CMOS-compatible GaN-on-Si field-effect transistors for high voltage power applications. In Proceedings of the 2014 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 15–17 December 2014; pp. 17.6.1–17.6.4. [Google Scholar]
  20. Moens, P.; Banerjee, A.; Uren, M.J.; Meneghini, M.; Karboyan, S.; Chatterjee, I.; Vanmeerbeek, P.; Casar, M.; Liu, C.; Salih, A.; et al. Impact of buffer leakage on intrinsic reliability of 650V AlGaN/GaN HEMTs. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 35.2.1–35.2.4. [Google Scholar]
  21. Bahl, S.R.; Joh, J.; Fu, L.; Sasikumar, A.; Chatterjee, T.; Pendharkar, S. Application reliability validation of GaN power devices. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 20.5.1–20.5.4. [Google Scholar]
  22. Koller, C.; Pobegen, G.; Ostermaier, C.; Pogany, D. Evidence of defect band in carbon-doped GaN controlling leakage current and trapping dynamics. In Proceedings of the 2017 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 33.4.1–33.4.4. [Google Scholar]
  23. Meneghini, M.; Tajalli, A.; Moens, P.; Banerjee, A.; Stockman, A.; Tack, M.; Gerardin, S.; Bagatin, M.; Paccagnella, A.; Zanoni, E.; et al. Total suppression of dynamic-ron in AlGaN/GaN-HEMTs through proton irradiation. In Proceedings of the 2017 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 33.5.1–33.5.4. [Google Scholar]
  24. Qi, Y.; Zhu, Y.; Zhang, J.; Lin, X.; Cheng, K.; Jiang, L.; Yu, H. Evaluation of LPCVD SiNx Gate Dielectric Reliability by TDDB Measurement in Si-Substrate-Based AlGaN/GaN MIS-HEMT. IEEE Trans. Electron Devices 2018, 65, 1759–1764. [Google Scholar] [CrossRef]
  25. Radhakrishna, U.; Lim, S.; Choi, P.; Palacios, T.; Antoniadis, D. GaNFET compact model for linking device physics, high voltage circuit design and technology optimization. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 9.6.1–9.6.4. [Google Scholar]
  26. Cornigli, D.; Reggiani, S.; Gnani, E.; Gnudi, A.; Baccarani, G.; Moens, P.; Vanmeerbeek, P.; Banerjee, A.; Meneghesso, G. Numerical investigation of the lateral and vertical leakage currents and breakdown regimes in GaN-on-Silicon vertical structures. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 5.3.1–5.3.4. [Google Scholar]
  27. Raciti, A.; Cristaldi, D.; Greco, G.; Vinci, G.; Bazzano, G. Integrated power electronics modules: Electro-thermal modeling flow and stress conditions overview. In Proceedings of the 2014 AEIT Annual Conference—From Research to Industry: The Need for a More Effective Technology Transfer (AEIT), Trieste, Italy, 18–19 September 2014; pp. 1–6. [Google Scholar]
  28. Wong, K.Y.R.; Kwan, M.H.; Yao, F.W.; Tsai, M.W.; Lin, Y.S.; Chang, Y.C.; Chen, P.C.; Su, R.Y.; Yu, J.L.; Yang, F.J.; et al. A next generation CMOS-compatible GaN-on-Si transistors for high efficiency energy systems. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 9.5.1–9.5.4. [Google Scholar]
  29. Di Cioccio, L.; Morvan, E.; Charles, M.; Perichon, P.; Torres, A.; Ayel, F.; Bergogne, D.; Baines, Y.; Fayolle, M.; Escoffier, R.; et al. From epitaxy to converters topologies what issues for 200 mm GaN/Si? In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 16.5.1–16.5.4. [Google Scholar]
  30. Hughes, B.; Chu, R.; Lazar, J.; Boutros, K. Increasing the switching frequency of GaN HFET converters. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 16.7.1–16.7.4. [Google Scholar]
  31. Then, H.W.; Chow, L.A.; Dasgupta, S.; Gardner, S.; Radosavljevic, M.; Rao, V.R.; Sung, S.H.; Yang, G.; Fischer, P. High-K gate dielectric depletion-mode and enhancement-mode GaN MOS-HEMTs for improved OFF-state leakage and DIBL for power electronics and RF applications. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 16.3.1–16.3.4. [Google Scholar]
  32. Coffa, S.; Saggio, M.; Patti, A. SiC- and GaN-based power devices: Technologies, products and applications. In Proceedings of the 2015 International Electron Devices Meeting, Washington, DC, USA, 7–9 December 2015; pp. 16.8.1–16.8.5. [Google Scholar]
  33. Ishida, H.; Kajitani, R.; Kinoshita, Y.; Umeda, H.; Ujita, S.; Ogawa, M.; Tanaka, K.; Morita, T.; Tamura, S.; Ishida, M.; et al. GaN-based semiconductor devices for future power switching systems. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 20.4.1–20.4.4. [Google Scholar]
  34. Lidow, A.; Reusch, D.; Glaser, J. System level impact of GaN power devices in server architectures. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 20.3.1–20.3.4. [Google Scholar]
  35. Asif Khan, M.; Bhattarai, A.; Kuznia, J.N.; Olson, D.T. High electron mobility transistor based on a GaN-AlxGa1−xN heterojunction. Appl. Phys. Lett. 1993, 63, 1214–1215. [Google Scholar] [CrossRef]
  36. Khan, M.A.; Chen, Q.; Sun, C.J.; Yang, J.W.; Blasingame, M.; Shur, M.S.; Park, H. Enhancement and depletion mode GaN/AlGaN heterostructure field effect transistors. Appl. Phys. Lett. 1996, 68, 514–516. [Google Scholar] [CrossRef]
  37. Hu, X.; Simin, G.; Yang, J.; Asif Khan, M.; Gaska, R.; Shur, M.S. Enhancement mode AlGaN/GaN HFET with selectively grown pn junction gate. Electron. Lett. 2000, 36, 753–754. [Google Scholar] [CrossRef]
  38. Oka, T.; Nozawa, T. AlGaN/GaN Recessed MIS-Gate HFET With High-Threshold-Voltage Normally-Off Operation for Power Electronics Applications. IEEE Electron Device Lett. 2008, 29, 668–670. [Google Scholar] [CrossRef]
  39. Greco, G.; Iucolano, F.; Roccaforte, F. Review of technology for normally-off HEMTs with p-GaN gate. Mater. Sci. Semicond. Process. 2018, 78, 96–106. [Google Scholar] [CrossRef]
  40. Tanaka, K.; Morita, T.; Umeda, H.; Kaneko, S.; Kuroda, M.; Ikoshi, A.; Yamagiwa, H.; Okita, H.; Hikita, M.; Yanagihara, M.; et al. Suppression of current collapse by hole injection from drain in a normally-off GaN-based hybrid-drain-embedded gate injection transistor. Appl. Phys. Lett. 2015, 107, 163502. [Google Scholar] [CrossRef]
  41. Kumar, A.; De Souza, M.M. Extending the bounds of performance in E-mode p-channel GaN MOSHFETs. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 7.4.1–7.4.4. [Google Scholar]
  42. Uemoto, Y.; Hikita, M.; Ueno, H.; Matsuo, H.; Ishida, H.; Yanagihara, M.; Ueda, T.; Tanaka, T.; Ueda, D. Gate Injection Transistor (GIT)—A Normally-Off AlGaN/GaN Power Transistor Using Conductivity Modulation. IEEE Trans. Electron Devices 2007, 54, 3393–3399. [Google Scholar] [CrossRef]
  43. Nakazawa, S.; Shih, H.; Tsurumi, N.; Anda, Y.; Hatsuda, T.; Ueda, T.; Nozaki, M.; Yamada, T.; Hosoi, T.; Shimura, T.; et al. Fast switching performance by 20 A/730 V AlGaN/GaN MIS-HFET using AlON gate insulator. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 25.1.1–25.1.4. [Google Scholar]
  44. Son, D.-H.; Jo, Y.-W.; Won, C.-H.; Lee, J.-H.; Seo, J.H.; Lee, S.-H.; Lim, J.-W.; Kim, J.H.; Kang, I.M.; Cristoloveanu, S.; et al. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching. Solid-State Electron. 2018, 141, 7–12. [Google Scholar] [CrossRef]
  45. Ji, D.; Gupta, C.; Chan, S.H.; Agarwal, A.; Li, W.; Keller, S.; Mishra, U.K.; Chowdhury, S. Demonstrating >1.4 kV OG-FET performance with a novel double field-plated geometry and the successful scaling of large-area devices. In Proceedings of the 2017 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 9.4.1–9.4.4. [Google Scholar]
  46. Zeng, C.; Wang, Y.-S.; Liao, X.-Y.; Li, R.-G.; Chen, Y.-Q.; Lai, P.; Huang, Y.; En, Y.-F. Reliability Investigations of AlGaN/GaN HEMTs Based on On-State Electroluminescence Characterization. IEEE Trans. Device Mater. Reliab. 2015, 15, 69–74. [Google Scholar] [CrossRef]
  47. Amano, H.; Baines, Y.; Beam, E.; Borga, M.; Bouchet, T.; Chalker, P.R.; Charles, M.; Chen, K.J.; Chowdhury, N.; Chu, R.; et al. The 2018 GaN power electronics roadmap. J. Phys. D Appl. Phys. 2018, 51, 163001. [Google Scholar] [CrossRef] [Green Version]
  48. Hwang, I.; Choi, H.; Lee, J.; Choi, H.S.; Kim, J.; Ha, J.; Um, C.-Y.; Hwang, S.-K.; Oh, J.; Kim, J.-Y.; et al. 1.6 kV, 2.9 mΩ cm2 normally-off p-GaN HEMT device. In Proceedings of the 2012 24th International Symposium on Power Semiconductor Devices and ICs, Bruges, Belgium, 3–7 June 2012; pp. 41–44. [Google Scholar]
  49. Wang, Z.; Zhang, B.; Chen, W.; Li, Z. A Closed-Form Charge Control Model for the Threshold Voltage of Depletion- and Enhancement-Mode AlGaN/GaN Devices. IEEE Trans. Electron Devices 2013, 60, 1607–1612. [Google Scholar] [CrossRef]
  50. Ning, W.; Hui, W.; Xinpeng, L.; Yongle, Q.; Tianli, D.; Lingli, J.; Iervolino, E.; Kai, C.; Hongyu, Y. Investigation of AlGaN/GaN HEMTs degradation with gate pulse stressing at cryogenic temperature. AIP Adv. 2017, 7, 095317. [Google Scholar] [Green Version]
  51. Meneghini, M.; Hilt, O.; Wuerfl, J.; Meneghesso, G. Technology and Reliability of Normally-Off GaN HEMTs with p-Type Gate. Energies 2017, 10, 153. [Google Scholar] [CrossRef]
  52. Shul, R.J.; McClellan, G.B.; Casalnuovo, S.A.; Rieger, D.J.; Pearton, S.J.; Constantine, C.; Barratt, C.; Karlicek, R.F.; Tran, C.; Schurman, M. Inductively coupled plasma etching of GaN. Appl. Phys. Lett. 1996, 69, 1119–1121. [Google Scholar] [CrossRef]
  53. Shul, R.J.; Vawter, G.A.; Willison, C.G.; Bridges, M.M.; Lee, J.W.; Pearton, S.J.; Abernathy, C.R. Comparison of plasma etch techniques for III–V nitrides. Solid-State Electron. 1998, 42, 2259–2267. [Google Scholar] [CrossRef]
  54. Sheu, J.K.; Su, Y.K.; Chi, G.C.; Jou, M.J.; Liu, C.C.; Chang, C.M.; Hung, W.C. Inductively coupled plasma etching of GaN using Cl2/Ar and Cl2/N2 gases. J. Appl. Phys. 1999, 85, 1970–1974. [Google Scholar] [CrossRef]
  55. Pearton, S.J.; Shul, R.J.; Ren, F. A Review of Dry Etching of GaN and Related Materials. MRS Internet J. Nitride Semicond. Res. 2014, 5, 11. [Google Scholar] [CrossRef]
  56. Kodera, M.; Yoshioka, A.; Sugiyama, T.; Ohguro, T.; Hamamoto, T.; Kawamoto, T.; Yamanaka, T.; Xinyu, Z.; Lester, S.; Miyashita, N. Impact of Plasma-Damaged-Layer Removal on GaN HEMT Devices. Phys. Status Solidi 2018, 215, 1700633. [Google Scholar] [CrossRef]
  57. Tripathy, S.; Ramam, A.; Chua, S.J.; Pan, J.S.; Huan, A. Characterization of inductively coupled plasma etched surface of GaN using Cl2/BCl3 chemistry. J. Vac. Sci. Technol. A Vac. Surf. Films 2001, 19, 2522–2532. [Google Scholar] [CrossRef]
  58. Pearton, S.J.; Abernathy, C.R.; Ren, F. Dry patterning of InGaN and InAlN. Appl. Phys. Lett. 1994, 64, 3643–3645. [Google Scholar] [CrossRef]
  59. Zhe, X.; Jinyan, W.; Jingqian, L.; Chunyan, J.; Yong, C.; Zhenchuan, Y.; Maojun, W.; Min, Y.; Bing, X.; Wengang, W.; et al. Demonstration of Normally-Off Recess-Gated AlGaN/GaN MOSFET Using GaN Cap Layer as Recess Mask. IEEE Electron Device Lett. 2014, 35, 1197–1199. [Google Scholar] [CrossRef]
  60. Shul, R.J.; Willison, C.G.; Bridges, M.M.; Han, J.; Lee, J.W.; Pearton, S.J.; Abernathy, C.R.; MacKenzie, J.D.; Donovan, S.M.; Zhang, L.; et al. Selective inductively coupled plasma etching of group-III nitrides in Cl2- and BCl3-based plasmas. J. Vac. Sci. Technol. A Vac. Surf. Films 1998, 16, 1621–1626. [Google Scholar] [CrossRef]
  61. Wakejima, A.; Ando, A.; Watanabe, A.; Inoue, K.; Kubo, T.; Osada, Y.; Kamimura, R.; Egawa, T. Normally off AlGaN/GaN HEMT on Si substrate with selectively dry-etched recessed gate and polarization-charge-compensation δ-doped GaN cap layer. Appl. Phys. Express 2015, 8, 026502. [Google Scholar] [CrossRef]
  62. Nanjo, T.; Miura, N.; Oishi, T.; Suita, M.; Abe, Y.; Ozeki, T.; Nakatsuka, S.; Inoue, A.; Ishikawa, T.; Matsuda, Y.; et al. Improvement of DC and RF Characteristics of AlGaN/GaN High Electron Mobility Transistors by Thermally Annealed Ni/Pt/Au Schottky Gate. Jpn. J. Appl. Phys. 2004, 43, 1925–1929. [Google Scholar] [CrossRef]
  63. Umeda, H.; Takizawa, T.; Anda, Y.; Ueda, T.; Tanaka, T. High-Voltage Isolation Technique Using Fe Ion Implantation for Monolithic Integration of AlGaN/GaN Transistors. IEEE Trans. Electron Devices 2013, 60, 771–775. [Google Scholar] [CrossRef]
  64. Ducatteau, D.; Minko, A.; Hoel, V.; Morvan, E.; Delos, E.; Grimbert, B.; Lahreche, H.; Bove, P.; Gaquiere, C.; De Jaeger, J.C.; et al. Output power density of 5.1/mm at 18 GHz with an AlGaN/GaN HEMT on Si substrate. IEEE Electron Device Lett. 2006, 27, 7–9. [Google Scholar] [CrossRef]
  65. Sun, M.; Lee, H.-S.; Lu, B.; Piedra, D.; Palacios, T. Comparative Breakdown Study of Mesa- and Ion-Implantation-Isolated AlGaN/GaN High-Electron-Mobility Transistors on Si Substrate. Appl. Phys. Express 2012, 5, 074202. [Google Scholar] [CrossRef]
  66. Shiu, J.-Y.; Lu, C.-Y.; Su, T.-Y.; Huang, R.-T.; Zirath, H.; Rorsman, N.; Chang, E.Y. Electrical Characterization and Transmission Electron Microscopy Assessment of Isolation of AlGaN/GaN High Electron Mobility Transistors with Oxygen Ion Implantation. Jpn. J. Appl. Phys. 2010, 49, 021001. [Google Scholar] [CrossRef]
  67. Shiu, J.-Y.; Huang, J.-C.; Desmaris, V.; Chang, C.-T.; Lu, C.-Y.; Kumakura, K.; Makimoto, T.; Zirath, H.; Rorsman, N.; Chang, E.Y. Oxygen Ion Implantation Isolation Planar Process for AlGaN/GaN HEMTs. IEEE Electron Device Lett. 2007, 28, 476–478. [Google Scholar] [CrossRef] [Green Version]
  68. Burnham, S.D.; Boutros, K.; Hashimoto, P.; Butler, C.; Wong, D.W.S.; Hu, M.; Micovic, M. Gate-recessed normally-off GaN-on- Si HEMT using a new O2-BCl3 digital etching technique. Phys. Status Solidi 2010, 7, 2010–2012. [Google Scholar] [CrossRef]
  69. Wong, J.C.; Micovic, M.; Brown, D.F.; Khalaf, I.; Williams, A.; Corrion, A. Selective anisotropic etching of GaN over AlGaN for very thin films. J. Vac. Sci. Technol. A Vac. Surf. Films 2018, 36, 030603. [Google Scholar] [CrossRef] [Green Version]
  70. Chiu, H.-C.; Chang, Y.-S.; Li, B.-H.; Wang, H.-C.; Kao, H.-L.; Hu, C.-W.; Xuan, R. High-Performance Normally off p-GaN Gate HEMT with Composite AlN/Al0.17Ga0.83N/Al0.3Ga0.7N Barrier Layers Design. IEEE J. Electron Devices Soc. 2018, 6, 201–206. [Google Scholar] [CrossRef]
  71. Chiu, H.-C.; Chang, Y.-S.; Li, B.-H.; Wang, H.-C.; Kao, H.-L.; Chien, F.-T.; Hu, C.-W.; Xuan, R. High Uniformity Normally-off p-GaN Gate HEMT Using Self-Terminated Digital Etching Technique. IEEE Trans. Electron Devices 2018, 65, 4820–4825. [Google Scholar] [CrossRef]
  72. Greco, G.; Iucolano, F.; Roccaforte, F. Ohmic contacts to Gallium Nitride materials. Appl. Surf. Sci. 2016, 383, 324–345. [Google Scholar] [CrossRef]
  73. Lin, M.E.; Ma, Z.; Huang, F.Y.; Fan, Z.F.; Allen, L.H.; Morkoç, H. Low resistance ohmic contacts on wide band-gap GaN. Appl. Phys. Lett. 1994, 64, 1003–1005. [Google Scholar] [CrossRef]
  74. Luther, B.P.; Mohney, S.E.; Jackson, T.N.; Asif Khan, M.; Chen, Q.; Yang, J.W. Investigation of the mechanism for Ohmic contact formation in Al and Ti/Al contacts ton-type GaN. Appl. Phys. Lett. 1997, 70, 57–59. [Google Scholar] [CrossRef]
  75. Graff, A.; Simon-Najasek, M.; Altmann, F.; Kuzmik, J.; Gregušova, D.; Haščík, Š.; Jung, H.; Baur, T.; Grünenpütt, J.; Blanck, H. High resolution physical analysis of ohmic contact formation at GaN-HEMT devices. Microelectron. Reliab. 2017, 76–77, 338–343. [Google Scholar] [CrossRef]
  76. Jacobs, B.; Kramer, M.C.J.C.M.; Geluk, E.J.; Karouta, F. Optimisation of the Ti/Al/Ni/Au ohmic contact on AlGaN/GaN FET structures. J. Cryst. Growth 2002, 241, 15–18. [Google Scholar] [CrossRef] [Green Version]
  77. Piazza, M.; Dua, C.; Oualli, M.; Morvan, E.; Carisetti, D.; Wyczisk, F. Degradation of TiAlNiAu as ohmic contact metal for GaN HEMTs. Microelectron. Reliab. 2009, 49, 1222–1225. [Google Scholar] [CrossRef]
  78. Motayed, A.; Bathe, R.; Wood, M.C.; Diouf, O.S.; Vispute, R.D.; Mohammad, S.N. Electrical, thermal, and microstructural characteristics of Ti/Al/Ti/Au multilayer Ohmic contacts to n-type GaN. J. Appl. Phys. 2003, 93, 1087–1094. [Google Scholar] [CrossRef]
  79. France, R.; Xu, T.; Chen, P.; Chandrasekaran, R.; Moustakas, T.D. Vanadium-based Ohmic contacts to n-AlGaN in the entire alloy composition. Appl. Phys. Lett. 2007, 90, 062115. [Google Scholar] [CrossRef]
  80. Firrincieli, A.; De Jaeger, B.; You, S.; Wellekens, D.; Van Hove, M.; Decoutere, S. Au-free low temperature ohmic contacts for AlGaN/GaN power devices on 200 mm Si substrates. Jpn. J. Appl. Phys. 2014, 53, 04EF01. [Google Scholar] [CrossRef]
  81. Liu, Z.; Sun, M.; Lee, H.-S.; Heuken, M.; Palacios, T. AlGaN/AlN/GaN High-Electron-Mobility Transistors Fabricated with Au-Free Technology. Appl. Phys. Express 2013, 6, 096502. [Google Scholar] [CrossRef]
  82. Lin, Y.-K.; Bergsten, J.; Leong, H.; Malmros, A.; Chen, J.-T.; Chen, D.-Y.; Kordina, O.; Zirath, H.; Chang, E.Y.; Rorsman, N. A versatile low-resistance ohmic contact process with ohmic recess and low-temperature annealing for GaN HEMTs. Semicond. Sci. Technol. 2018, 33, 095019. [Google Scholar] [CrossRef]
  83. Yoshida, T.; Egawa, T. Improvement of Au-Free, Ti/Al/W Ohmic Contact on AlGaN/GaN Heterostructure Featuring a Thin-Ti Layer and Low Temperature Annealing. Phys. Status Solidi 2018, 215, 1700825. [Google Scholar] [CrossRef]
  84. Pozzovivo, G.; Kuzmik, J.; Giesen, C.; Heuken, M.; Liday, J.; Strasser, G.; Pogany, D. Low resistance ohmic contacts annealed at 600 °C on a InAlN/GaN heterostructure with SiCl4-reactive ion etching surface treatment. Phys. Status Solidi 2009, 6, S999–S1002. [Google Scholar] [CrossRef]
  85. Greco, G.; Iucolano, F.; Di Franco, S.; Bongiorno, C.; Patti, A.; Roccaforte, F. Effects of Annealing Treatments on the Properties of Al/Ti/p-GaN Interfaces for Normally OFF p-GaN HEMTs. IEEE Trans. Electron Devices 2016, 63, 2735–2741. [Google Scholar] [CrossRef]
  86. Lu, X.; Jiang, H.; Liu, C.; Zou, X.; Lau, K.M. Off-state leakage current reduction in AlGaN/GaN high electron mobility transistors by combining surface treatment and post-gate annealing. Semicond. Sci. Technol. 2016, 31, 055019. [Google Scholar] [CrossRef]
  87. Hwang, I.; Kim, J.; Choi, H.S.; Choi, H.; Lee, J.; Kim, K.Y.; Park, J.-B.; Lee, J.C.; Ha, J.; Oh, J.; et al. p-GaN Gate HEMTs With Tungsten Gate Metal for High Threshold Voltage and Low Gate Current. IEEE Electron Device Lett. 2013, 34, 202–204. [Google Scholar] [CrossRef]
  88. Ťapajna, M.; Hilt, O.; Bahat-Treidel, E.; Wurfl, J.; Kuzmik, J. Gate Reliability Investigation in Normally-Off p-Type-GaN Cap/AlGaN/GaN HEMTs Under Forward Bias Stress. IEEE Electron Device Lett. 2016, 37, 385–388. [Google Scholar] [CrossRef]
  89. Wu, T.-L.; Marcon, D.; You, S.; Posthuma, N.; Bakeroot, B.; Stoffels, S.; Van Hove, M.; Groeseneken, G.; Decoutere, S. Forward Bias Gate Breakdown Mechanism in Enhancement-Mode p-GaN Gate AlGaN/GaN High-Electron Mobility Transistors. IEEE Electron Device Lett. 2015, 36, 1001–1003. [Google Scholar] [CrossRef]
  90. Tallarico, A.N.; Stoffels, S.; Magnone, P.; Posthuma, N.; Sangiorgi, E.; Decoutere, S.; Fiegna, C. Investigation of the p-GaN Gate Breakdown in Forward-Biased GaN-Based Power HEMTs. IEEE Electron Device Lett. 2017, 38, 99–102. [Google Scholar] [CrossRef]
  91. Stockman, A.; Canato, E.; Tajalli, A.; Meneghini, M.; Meneghesso, G.; Zanoni, E.; Moens, P.; Bakeroot, B. On the origin of the leakage current in p-gate AlGaN/GaN HEMTs. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. 4B.5-1–4B.5-4. [Google Scholar]
  92. Yu, L.S.; Jia, L.; Qiao, D.; Lau, S.S.; Li, J.; Lin, J.Y.; Jiang, H.X. The origins of leaky characteristics of schottky diodes on p-GaN. IEEE Trans. Electron Devices 2003, 50, 292–296. [Google Scholar] [CrossRef]
  93. Zhu, G.C.; Wang, Y.M.; Xin, Q.; Xu, M.S.; Chen, X.F.; Xu, X.G.; Feng, X.J.; Song, A.M. GaN metal-oxide-semiconductor high-electron-mobility transistors using thermally evaporated SiO as the gate dielectric. Semicond. Sci. Technol. 2018, 33, 095023. [Google Scholar] [CrossRef]
  94. Dora, Y.; Han, S.; Klenov, D.; Hansen, P.J.; No, K.-s.; Mishra, U.K.; Stemmer, S.; Speck, J.S. ZrO2 gate dielectrics produced by ultraviolet ozone oxidation for GaN and AlGaN/GaN transistors. J. Vac. Sci. Technol. B 2006, 24, 575. [Google Scholar] [CrossRef]
  95. Cook, T.E.; Fulton, C.C.; Mecouch, W.J.; Davis, R.F.; Lucovsky, G.; Nemanich, R.J. Band offset measurements of the GaN (0001)/HfO2 interface. J. Appl. Phys. 2003, 94, 7155–7158. [Google Scholar] [CrossRef]
  96. Gao, Z.; Romero, M.F.; Calle, F. Thermal and Electrical Stability Assessment of AlGaN/GaN Metal-Oxide-Semiconductor High-Electron Mobility Transistors (MOS-HEMTs) With HfO2 Gate Dielectric. IEEE Trans. Electron Devices 2018, 65, 3142–3148. [Google Scholar] [CrossRef]
  97. Roccaforte, F.; Fiorenza, P.; Greco, G.; Vivona, M.; Lo Nigro, R.; Giannazzo, F.; Patti, A.; Saggio, M. Recent advances on dielectrics technology for SiC and GaN power devices. Appl. Surf. Sci. 2014, 301, 9–18. [Google Scholar] [CrossRef]
  98. Vetury, R.; Zhang, N.Q.; Keller, S.; Mishra, U.K. The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs. IEEE Trans. Electron Devices 2001, 48, 560–566. [Google Scholar] [CrossRef]
  99. Khan, M.A.; Hu, X.; Tarakji, A.; Simin, G.; Yang, J.; Gaska, R.; Shur, M.S. AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors on SiC substrates. Appl. Phys. Lett. 2000, 77, 1339–1341. [Google Scholar] [CrossRef]
  100. Hua, M.; Liu, C.; Yang, S.; Liu, S.; Fu, K.; Dong, Z.; Cai, Y.; Zhang, B.; Chen, K.J. Characterization of Leakage and Reliability of SiNx Gate Dielectric by Low-Pressure Chemical Vapor Deposition for GaN-based MIS-HEMTs. IEEE Trans. Electron Devices 2015, 62, 3215–3222. [Google Scholar] [CrossRef]
  101. Hatano, M.; Taniguchi, Y.; Kodama, S.; Tokuda, H.; Kuzuhara, M. Reduced gate leakage and high thermal stability of AlGaN/GaN MIS-HEMTs using ZrO2/Al2O3gate dielectric stack. Appl. Phys. Express 2014, 7, 044101. [Google Scholar] [CrossRef]
  102. Ueoka, Y.; Deki, M.; Honda, Y.; Amano, H. Improvement of breakdown voltage of vertical GaN p-n junction diode with Ga2O3 passivated by sputtering. Jpn. J. Appl. Phys. 2018, 57, 070302. [Google Scholar] [CrossRef]
  103. Zhang, D.L.; Cheng, X.H.; Zheng, L.; Shen, L.Y.; Wang, Q.; Gu, Z.Y.; Qian, R.; Wu, D.P.; Zhou, W.; Cao, D.; et al. Effects of polycrystalline AlN filmon the dynamic performance of AlGaN/GaN high electron mobility transistors. Mater. Des. 2018, 148, 1–7. [Google Scholar] [CrossRef]
  104. Zhang, L.Q.; Wang, P.F. AlN/GaN metal-insulator-semiconductor high-electron-mobility transistor with thermal atomic layer deposition AlN gate dielectric. Jpn. J. Appl. Phys. 2018, 57, 096502. [Google Scholar] [CrossRef]
  105. Sen, H.; Qimeng, J.; Shu, Y.; Chunhua, Z.; Chen, K.J. Effective Passivation of AlGaN/GaN HEMTs by ALD-Grown AlN Thin Film. IEEE Electron Device Lett. 2012, 33, 516–518. [Google Scholar]
  106. Koehler, A.D.; Nepal, N.; Anderson, T.J.; Tadjer, M.J.; Hobart, K.D.; Eddy, C.R.; Kub, F.J. Atomic Layer Epitaxy AlN for Enhanced AlGaN/GaN HEMT Passivation. IEEE Electron Device Lett. 2013, 34, 1115–1117. [Google Scholar] [CrossRef]
  107. Luo, B.; Mehandru, R.; Kim, J.; Ren, F.; Gila, B.P.; Onstine, A.H.; Abernathy, C.R.; Pearton, S.J.; Gotthold, D.; Birkhahn, R.; et al. High three-terminal breakdown voltage and output power of Sc2O3 passivated AlGaN/GaN high electron mobility transistors. Electron. Lett. 2003, 39, 809–810. [Google Scholar] [CrossRef]
  108. Tsu-Yi, W.; Lin, S.-K.; Po-Wen, S.; Jian-Jiun, H.; Wei-Chi, C.; Chih-Chun, H.; Ming-Ji, T.; Yeong-Her, W. AlGaN/GaN MOSHEMTs With Liquid-Phase-Deposited TiO2 as Gate Dielectric. IEEE Trans. Electron Devices 2009, 56, 2911–2916. [Google Scholar]
  109. Lee, C.-T.; Ya-Lan, C.; Chi-Sen, L. AlGaN/GaN MOS-HEMTs With Gate ZnO Dielectric Layer. IEEE Electron Device Lett. 2010, 31, 1220–1223. [Google Scholar] [CrossRef]
  110. Oh, C.S.; Youn, C.J.; Yang, G.M.; Lim, K.Y.; Yang, J.W. AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistor with oxidized Ni as a gate insulator. Appl. Phys. Lett. 2004, 85, 4214–4216. [Google Scholar] [CrossRef]
  111. Kanamura, M.; Ohki, T.; Imanishi, K.; Makiyama, K.; Okamoto, N.; Kikkawa, T.; Hara, N.; Joshin, K. Joshin High power and high gain AlGaN/GaN MIS-HEMTs with high-k dielectric layer. Phys. Stat. Sol. 2008, 5, 2037–2040. [Google Scholar]
  112. Hao, Y.; Yang, L.; Ma, X.H.; Ma, J.g.; Cao, M.y.; Pan, C.y.; Wang, C.; Zhang, J.c. High-performance microwave gate-recessed AlGaN/AlN/GaN MOS-HEMT with 73% power-added efficiency. IEEE Electron Dev. Lett. 2011, 32, 626–628. [Google Scholar] [CrossRef]
  113. Liu, Z.H.; Ng, G.I.; Arulkumaran, S.; Maung, Y.K.T.; Teo, K.L.; Foo, S.C.; Sahmuganathan, V. Improved two-dimensional electron gas transport characteristics in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor with atomic layer-deposited Al2O3 as gate insulator. Appl. Phys. Lett. 2009, 95, 223501. [Google Scholar] [CrossRef]
  114. Qin, X.; Wallace, R.M. In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors. Appl. Phys. Lett. 2015, 107, 081608. [Google Scholar] [CrossRef]
  115. Dong Seup, L.; Chung, J.W.; Wang, H.; Xiang, G.; Shiping, G.; Fay, P.; Palacios, T. 245-GHz InAlN/GaN HEMTs with Oxygen Plasma Treatment. IEEE Electron Device Lett. 2011, 32, 755–757. [Google Scholar]
  116. Ronghua, W.; Guowang, L.; Laboutin, O.; Cao, Y.; Johnson, W.; Snider, G.; Fay, P.; Jena, D.; Huili, X. 210-GHz InAlN/GaN HEMTs With Dielectric-Free Passivation. IEEE Electron Device Lett. 2011, 32, 892–894. [Google Scholar]
  117. Liu, H.-Y.; Lee, C.-S.; Hsu, W.-C.; Tseng, L.-Y.; Chou, B.-Y.; Ho, C.-S.; Wu, C.-L. Investigations of AlGaN/AlN/GaN MOS-HEMTs on Si Substrate by Ozone Water Oxidation Method. IEEE Trans. Electron Devices 2013, 60, 2231–2237. [Google Scholar] [CrossRef]
  118. Liu, H.-Y.; Chou, B.-Y.; Hsu, W.-C.; Lee, C.-S.; Sheu, J.-K.; Ho, C.-S. Enhanced AlGaN/GaN MOS-HEMT performance by using hydrogen peroxide oxidation technique. IEEE Trans. Electron Devices 2013, 60, 213–220. [Google Scholar] [CrossRef]
  119. Liu, X.; Low, E.K.F.; Pan, J.; Liu, W.; Teo, K.L. Impact of In situ vacuum anneal and SiH4 treatment on electrical characteristics of AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistors. Appl. Phys. Lett. 2011, 99, 093504. [Google Scholar] [CrossRef]
  120. Liu, S.; Yang, S.; Tang, Z.; Jiang, Q.; Liu, C.; Wang, M.; Shen, B.; Chen, K.J. Interface/border trap characterization of Al2O3/AlN/GaN metal-oxide-semiconductor structures with an AlN interfacial layer. Appl. Phys. Lett. 2015, 106, 051605. [Google Scholar] [CrossRef]
  121. Miao, M.S.; Weber, J.R.; Van de Walle, C.G. Oxidation and the origin of the two-dimensional electron gas in AlGaN/GaN heterostructures. J. Appl. Phys. 2010, 107, 123713. [Google Scholar] [CrossRef]
  122. Coan, M.R.; Woo, J.H.; Johnson, D.; Gatabi, I.R.; Harris, H.R. Band offset measurements of the GaN/dielectric interfaces. J. Appl. Phys. 2012, 112, 024508. [Google Scholar] [CrossRef]
  123. Oyama, S.; Hashizume, T.; Hasegawa, H. Mechanism of current leakage through metal/n-GaN interfaces. Appl. Surf. Sci. 2002, 190, 322–325. [Google Scholar] [CrossRef] [Green Version]
  124. Dong, Y.; Feenstra, R.M.; Northrup, J.E. Electronic states of oxidized GaN(0001) surfaces. Appl. Phys. Lett. 2006, 89, 171920. [Google Scholar] [CrossRef] [Green Version]
  125. Bae, C.; Lucovsky, G. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. I. Two-step remote plasma-assisted oxidation-deposition process. J. Vac. Sci. Technol. A 2004, 22, 2402–2410. [Google Scholar] [CrossRef]
  126. Therrien, R.; Lucovsky, G.; Davis, R. Charge redistribution at GaN–Ga2O3 interfaces: A microscopic mechanism for low defect density interfaces in remote-plasma-processed MOS devices prepared on polar GaN faces. Appl. Surf. Sci. 2000, 166, 513–519. [Google Scholar] [CrossRef]
  127. Lu, W.; Kumar, V.; Schwindt, R.; Piner, E.; Adesida, I. Adesida A comparative study of surface passivation on AlGaN/GaN HEMTs. Solid-State Electron. 2002, 46, 1441–1444. [Google Scholar] [CrossRef]
  128. Hasegawa, H.; Inagaki, T.; Ootomo, S.; Hashizume, T. Mechanisms of current collapse and gate leakage currents in AlGaN/GaN heterostructure field effect transistors. J. Vac. Sci. Technol. B 2003, 21, 1844–1855. [Google Scholar] [CrossRef]
  129. Jones, E.A.; Wang, F.F.; Costinett, D. Review of Commercial GaN Power Devices and GaN-Based Converter Design Challenges. IEEE J. Emerg. Sel. Top. Power Electron. 2016, 4, 707–719. [Google Scholar] [CrossRef]
  130. Green, B.M.; Chu, K.K.; Chumbes, E.M.; Smart, J.A.; Shealy, J.R.; Eastman, L.F. The effect of surface passivation on the microwave characteristics of undoped AlGaN/GaN HEMTs. IEEE Electron Device Lett. 2000, 21, 268–270. [Google Scholar] [CrossRef]
  131. Chini, A.; Buttari, D.; Coffie, R.; Heikman, S.; Keller, S.; Mishra, U.K. 12 W/mm power density AlGaN/GaN HEMTs on sapphire substrate. Electron. Lett. 2004, 40, 73–74. [Google Scholar] [CrossRef]
  132. Wong, J.; Shinohara, K.; Corrion, A.L.; Brown, D.F.; Carlos, Z.; Williams, A.; Tang, Y.; Robinson, J.F.; Khalaf, I.; Fung, H.; et al. Novel Asymmetric Slant Field Plate Technology for High-Speed Low-Dynamic Ron E/D-mode GaN HEMTs. IEEE Electron Device Lett. 2017, 38, 95–98. [Google Scholar] [CrossRef]
  133. Ma, J.; Zanuz, D.C.; Matioli, E. Field Plate Design for Low Leakage Current in Lateral GaN Power Schottky Diodes: Role of the Pinch-off Voltage. IEEE Electron Device Lett. 2017, 38, 1298–1301. [Google Scholar] [CrossRef]
  134. Bajaj, S.; Akyol, F.; Krishnamoorthy, S.; Hung, T.-H.; Rajan, S. Simulation of Enhancement Mode GaN HEMTs with Threshold> 5 V using P-type Buffer. arXiv, 2015; arXiv:1511.04438. [Google Scholar]
  135. Gao, Z.; Hou, B.; Liu, Y.; Ma, X. Impact of fluorine plasma treatment on AlGaN/GaN high electronic mobility transistors by simulated and experimental results. Microelectron. Eng. 2016, 154, 22–25. [Google Scholar] [CrossRef]
  136. Wang, A.; Zeng, L.; Wang, W. Simulation of Gate Leakage Current of AlGaN/GaN HEMTs: Effects of the Gate Edges and Self-Heating. ECS J. Solid State Sci. Technol. 2017, 6, S3025–S3029. [Google Scholar] [CrossRef] [Green Version]
  137. Mukherjee, K.; Darracq, F.; Curutchet, A.; Malbert, N.; Labat, N. TCAD simulation capabilities towards gate leakage current analysis of advanced AlGaN/GaN HEMT devices. Microelectron. Reliab. 2017, 76–77, 350–356. [Google Scholar] [CrossRef]
  138. Wong, H.Y.; Braga, N.; Mickevicius, R.; Gao, F.; Palacios, T. Study of AlGaN/GaN HEMT degradation through TCAD simulations. In Proceedings of the 2014 IEEE International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama, Japan, 9–11 September 2014; pp. 97–100. [Google Scholar]
  139. Park, P.S.; Rajan, S. Simulation of Short-Channel Effects in N- and Ga-Polar AlGaN/GaN HEMTs. IEEE Trans. Electron Devices 2011, 58, 704–708. [Google Scholar] [CrossRef]
  140. Jia, Y.; Xu, Y.; Lu, K.; Wen, Z.; Huang, A.-D.; Guo, Y.-X. Characterization of Buffer-Related Current Collapse by Buffer Potential Simulation in AlGaN/GaN HEMTs. IEEE Trans. Electron Devices 2018, 65, 3169–3175. [Google Scholar] [CrossRef]
  141. Romanov, A.E.; Baker, T.J.; Nakamura, S.; Speck, J.S. Strain-induced polarization in wurtzite III-nitride semipolar layers. J. Appl. Phys. 2006, 100, 023522. [Google Scholar] [CrossRef] [Green Version]
  142. Ambacher, O.; Smart, J.; Shealy, J.R.; Weimann, N.G.; Chu, K.; Murphy, M.; Schaff, W.J.; Eastman, L.F.; Dimitrov, R.; Wittmer, L.; et al. Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures. J. Appl. Phys. 1999, 85, 3222–3233. [Google Scholar] [CrossRef]
  143. Heikman, S.; Keller, S.; Wu, Y.; Speck, J.S.; DenBaars, S.P.; Mishra, U.K. Polarization effects in AlGaN/GaN and GaN/AlGaN/GaN heterostructures. J. Appl. Phys. 2003, 93, 10114–10118. [Google Scholar] [CrossRef] [Green Version]
  144. Ibbetson, J.P.; Fini, P.T.; Ness, K.D.; DenBaars, S.P.; Speck, J.S.; Mishra, U.K. Polarization effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field effect transistors. Appl. Phys. Lett. 2000, 77, 250–252. [Google Scholar] [CrossRef]
  145. Smorchkova, I.P.; Elsass, C.R.; Ibbetson, J.P.; Vetury, R.; Heying, B.; Fini, P.; Haus, E.; DenBaars, S.P.; Speck, J.S.; Mishra, U.K. Polarization-induced charge and electron mobility in AlGaN/GaN heterostructures grown by plasma-assisted molecular-beam epitaxy. J. Appl. Phys. 1999, 86, 4520–4526. [Google Scholar] [CrossRef]
  146. Fischer, A.; Kuhne, H.; Richter, H. New approach in equilibrium theory for strained layer relaxation. Phys. Rev. Lett. 1994, 73, 2712–2715. [Google Scholar] [CrossRef]
  147. Bykhovski, A.D.; Gaska, R.; Shur, M.S. Piezoelectric doping and elastic strain relaxation in AlGaN–GaN heterostructure field effect transistors. Appl. Phys. Lett. 1998, 73, 3577–3579. [Google Scholar] [CrossRef]
  148. Rashmi; Kranti, A.; Haldar, S.; Gupta, R.S. An accurate charge control model for spontaneous and piezoelectric polarization dependent two-dimensional electron gas sheet charge density of lattice-mismatched AlGaN/GaN HEMTs. Solid-State Electron. 2002, 46, 621–630. [Google Scholar]
  149. Frayssinet, E.; Knap, W.; Lorenzini, P.; Grandjean, N.; Massies, J.; Skierbiszewski, C.; Suski, T.; Grzegory, I.; Porowski, S.; Simin, G.; et al. High electron mobility in AlGaN/GaN heterostructures grown on bulk GaN substrates. Appl. Phys. Lett. 2000, 77, 2551–2553. [Google Scholar] [CrossRef] [Green Version]
  150. Ambacher, O.; Foutz, B.; Smart, J.; Shealy, J.R.; Weimann, N.G.; Chu, K.; Murphy, M.; Sierakowski, A.J.; Schaff, W.J.; Eastman, L.F.; et al. Two dimensional electron gases induced by spontaneous and piezoelectric polarization in undoped and doped AlGaN/GaN heterostructures. J. Appl. Phys. 2000, 87, 334–344. [Google Scholar] [CrossRef]
  151. Fujii, T.; Tsuyukuchi, N.; Hirose, Y.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I. Control of Threshold Voltage of Enhancement-Mode AlxGa1-xN/GaN Junction Heterostructure Field-Effect Transistors Using p-GaN Gate Contact. Jpn. J. Appl. Phys. 2007, 46, 115–118. [Google Scholar] [CrossRef]
  152. Efthymiou, L.; Longobardi, G.; Camuso, G.; Chien, T.; Chen, M.; Udrea, F. On the physical operation and optimization of the p-GaN gate in normally-off GaN HEMT devices. Appl. Phys. Lett. 2017, 110, 123502. [Google Scholar] [CrossRef]
  153. Bakeroot, B.; Stockman, A.; Posthuma, N.; Stoffels, S.; Decoutere, S. Analytical Model for the Threshold Voltage of ${p}$ -(Al)GaN High-Electron-Mobility Transistors. IEEE Trans. Electron Devices 2018, 65, 79–86. [Google Scholar] [CrossRef]
  154. Huang, H.; Liang, Y.C.; Samudra, G.S.; Huang, C.-F. Design of novel normally-off AlGaN/GaN HEMTs with combined gate recess and floating charge structures. In Proceedings of the IEEE 10th International Conference on Power Electronics and Drive Systems (PEDS), Kitakyushu, Japan, 22–25 April 2013; pp. 555–558. [Google Scholar]
  155. Wang, H.; Wang, N.; Jiang, L.-L.; Zhao, H.-Y.; Lin, X.-P.; Yu, H.-Y. Study of the enhancement-mode AlGaN/GaN high electron mobility transistor with split floating gates. Solid-State Electron. 2017, 137, 52–57. [Google Scholar] [CrossRef]
  156. Wang, H.; Wang, N.; Jiang, L.-L.; Lin, X.-P.; Zhao, H.-Y.; Yu, H.-Y. A novel enhancement mode AlGaN/GaN high electron mobility transistor with split floating gates. Chin. Phys. B 2017, 26, 047305. [Google Scholar] [CrossRef]
  157. Kirkpatrick, C.; Lee, B.; Choi, Y.; Huang, A.; Misra, V. Threshold voltage stability comparison in AlGaN/GaN FLASH MOS-HFETs utilizing charge trap or floating gate charge storage. Phys. Status Solidi 2012, 9, 864–867. [Google Scholar] [CrossRef]
  158. Duan, X.-L.; Zhang, J.-C.; Xiao, M.; Zhao, Y.; Ning, J.; Hao, Y. Groove-type channel enhancement-mode AlGaN/GaN MIS HEMT with combined polar and nonpolar AlGaN/GaN heterostructures. Chin. Phys. B 2016, 25, 087304. [Google Scholar] [CrossRef]
Figure 1. Structures of the GaN HEMTs, (a) the D-mode device and (b) the p-GaN E-mode device.
Figure 1. Structures of the GaN HEMTs, (a) the D-mode device and (b) the p-GaN E-mode device.
Electronics 07 00377 g001
Figure 2. Specific on-resistance versus device breakdown voltage of the p-GaN HEMTs.
Figure 2. Specific on-resistance versus device breakdown voltage of the p-GaN HEMTs.
Electronics 07 00377 g002
Figure 3. DC characteristics of a p-GaN HEMT, (a) the transfer characteristics, (b) the I-V output characteristics.
Figure 3. DC characteristics of a p-GaN HEMT, (a) the transfer characteristics, (b) the I-V output characteristics.
Electronics 07 00377 g003
Figure 4. Schematic cross-section of (a) mesa etching and (b) ion implantation for device isolation.
Figure 4. Schematic cross-section of (a) mesa etching and (b) ion implantation for device isolation.
Electronics 07 00377 g004
Figure 5. Gate area definition of a p-GaN HEMT.
Figure 5. Gate area definition of a p-GaN HEMT.
Electronics 07 00377 g005
Figure 6. Ohmic contacts structure for source and drain of a p-GaN HEMT.
Figure 6. Ohmic contacts structure for source and drain of a p-GaN HEMT.
Electronics 07 00377 g006
Figure 7. Schematic cross-section for gate structure of a p-GaN HEMT.
Figure 7. Schematic cross-section for gate structure of a p-GaN HEMT.
Electronics 07 00377 g007
Figure 8. The schematics of (a) polarizations of AlGaN/GaN structure and (b) energy bands profile of the p-GaN HEMTs.
Figure 8. The schematics of (a) polarizations of AlGaN/GaN structure and (b) energy bands profile of the p-GaN HEMTs.
Electronics 07 00377 g008

Share and Cite

MDPI and ACS Style

Zeng, F.; An, J.X.; Zhou, G.; Li, W.; Wang, H.; Duan, T.; Jiang, L.; Yu, H. A Comprehensive Review of Recent Progress on GaN High Electron Mobility Transistors: Devices, Fabrication and Reliability. Electronics 2018, 7, 377. https://doi.org/10.3390/electronics7120377

AMA Style

Zeng F, An JX, Zhou G, Li W, Wang H, Duan T, Jiang L, Yu H. A Comprehensive Review of Recent Progress on GaN High Electron Mobility Transistors: Devices, Fabrication and Reliability. Electronics. 2018; 7(12):377. https://doi.org/10.3390/electronics7120377

Chicago/Turabian Style

Zeng, Fanming, Judy Xilin An, Guangnan Zhou, Wenmao Li, Hui Wang, Tianli Duan, Lingli Jiang, and Hongyu Yu. 2018. "A Comprehensive Review of Recent Progress on GaN High Electron Mobility Transistors: Devices, Fabrication and Reliability" Electronics 7, no. 12: 377. https://doi.org/10.3390/electronics7120377

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop