Next Article in Journal
Effect of Femtosecond Laser-Irradiated Titanium Plates on Enhanced Antibacterial Activity and Preservation of Bacteriophage Stability
Previous Article in Journal
The Composite TiO2–CuOx Layers Formed by Electrophoretic Method for CO2 Gas Photoreduction
Previous Article in Special Issue
Large-Scale Moth-Eye-Structured Roll Mold Fabrication Using Sputtered Glassy Carbon Layer and Transferred Moth-Eye Film Characterization
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Thermal Nanoimprint Lithography—A Review of the Process, Mold Fabrication, and Material

1
Department of Applied Electronics, Tokyo University of Science, 6-3-1 Niijuku, Katsushika-ku, Tokyo 125-8585, Japan
2
VTT Printed and Hybrid Functionalities, Tietotie 3, P.O. Box 1000, FI-02044 VTT Espoo, Finland
*
Author to whom correspondence should be addressed.
Nanomaterials 2023, 13(14), 2031; https://doi.org/10.3390/nano13142031
Submission received: 30 May 2023 / Revised: 30 June 2023 / Accepted: 6 July 2023 / Published: 8 July 2023
(This article belongs to the Special Issue Advance in Nanoimprint Technology)

Abstract

:
Micro- and nanopatterns perform unique functions and have attracted attention in various industrial fields, such as electronic devices, microfluidics, biotechnology, optics, sensors, and smart and anti-adhesion surfaces. To put fine-patterned products to practical use, low-cost patterning technology is necessary. Nanoimprint lithography (NIL) is a promising technique for high-throughput nanopattern fabrication. In particular, thermal nanoimprint lithography (T-NIL) has the advantage of employing flexible materials and eliminating chemicals and solvents. Moreover, T-NIL is particularly suitable for compostable and recyclable materials, especially when applying biobased materials for use in optics and electronics. These attributes make T-NIL an eco-friendly process. However, the processing time of normal T-NIL is longer than that of ultraviolet (UV) NIL using a UV-curable resin because the T-NIL process requires heating and cooling time. Therefore, many studies focus on improving the throughput of T-NIL. Specifically, a T-NIL process based on a roll-to-roll web system shows promise for next-generation nanopatterning techniques because it enables large-area applications with the capability to process webs several meters in width. In this review, the T-NIL process, roll mold fabrication techniques, and various materials are introduced. Moreover, metal pattern transfer techniques using a combination of nanotransfer printing, T-NIL, and a reverse offset are introduced.

1. Introduction

1.1. Demand for Micro/Nanopatterning

Micro- and nanopatterned surfaces are highly useful as functional surfaces, as well as in the fabrication of electronic devices. For example, to realize artificial intelligence systems, improving the performance of the central and graphic processing units is essential. Based on Moore’s law for semiconductor devices, the limit to the miniaturization of the transistor size will soon be reached. To achieve advancements beyond Moore’s law, monolithic three-dimensional (3D) integrated circuits are widely investigated [1]. Developing nanopatterning techniques for large areas is essential to reduce the cost of nanopatterning on a wafer scale [1].
Micro-total analysis systems (µ-TAS) [2] and biotechnology-like cell cultures [3] also require micro- and nanopatterned surfaces. Nanopatterned surfaces are used to fabricate a hydrophilic channel that easily transports liquids. In addition, a disposable package is required for these applications. Hence, a low-cost fabrication technique is strongly desired.
Optical applications widely utilize micro- and nanopatterns. For example, micro-lens arrays are essential for improving the performance of image sensors [4]. Anti-reflection films with nanostructures reduce the reflection of light in display devices [5] and improve the power generation efficiency of solar cells [6].
Moreover, many sensor applications utilize micro- and nanopatterns, and fine metal patterning is indispensable for these applications. Touch sensor panels, which can transmit light emitted from a display device, consist of a micro-scale metal wire on a transparent substrate [7]. To obtain a highly sensitive chemical sensor, surface-enhanced Raman scattering (SERS) using a nanodot pattern made of metal is a promising technology [8].
Printable electronics have important applications in electricity generation, particularly in organic solar cells [9] and thermoelectric devices [10] that require large-area micropatterns. In printable thermoelectric devices, reducing electrical contact resistance [11] and precise aligning techniques for p-n junctions are crucial, enabling scalable and cost-effective production.
Smart surfaces and anti-adhesion surfaces in facilities help reduce the cost of maintenance such as cleaning, defrosting, and disinfection. For example, bacterial anti-adhesion surfaces are needed to prevent biofilm formation [12]. A surface inspired by the nanostructures on insect wing surfaces is used for anti-bacterial and self-cleaning surfaces [13]. Frost formation and its impact on the icephobic properties of superhydrophobic surfaces have also been studied [14].
As noted above, micro- and nanopatterns are necessary for next-generation devices in various fields. A high throughput patterning technique with design flexibility, including 3D patterns, is highly desired. Fine patterns can be obtained by cutting [15], laser beam machining [16], electron beam lithography (EBL) [17], and focused ion beam (FIB) milling techniques [18]. Photolithography using extreme ultraviolet (UV) light is the most powerful technique for nanofabrication to date [19]. The interference lithography technique facilitates regular nanopatterns over a large area with no reticle [20]. Self-assembled patterning methods are expected to be low-cost means of nanofabrication because expensive machines are not required. Table 1 shows the specifications of various fine patterning techniques. Consequently, future fine patterning techniques are anticipated to offer low cost and high throughput with design flexibility over a large area.

1.2. Basis of Nanoimprint Lithography

To meet the demand for next-generation fine patterning techniques, NIL was developed in 1995 by Chou et al. [21]. Here, a nanopatterned mold was prepared by EBL. Using the mold, a poly(methyl methacrylate) layer was imprinted on a silicon substrate. The principle of NIL is similar to the conventional method of hot embossing. However, because pattern sizes as small as 25 nm can be obtained using the NIL process, it has received much attention to date. The concept of NIL combines a high-resolution technique, such as EBL, with a high-throughput pattern transfer process. In 1996, Haisma et al. developed the ultraviolet NIL (UV-NIL) process [22], which uses a photo-curable resin. The high-speed curing time of the UV-NIL process allows for very high throughput. Moreover, the viscosity of UV-NIL resin is comparatively low. Thus, it can be used to fill a very fine mold, with patterns as small as 10 nm [23]. However, UV-NIL resins are typically expensive because they contain a highly designed monomer, photoacid generator, and solvent to reduce viscosity. Moreover, these chemicals must be handled with care, and equipment for volatile organic compound (VOC) treatment is necessary. Importantly, the substrate must tolerate UV exposure. The differences between the conventional patterning and NIL processes are shown in Table 2.
The original NIL process is commonly referred to as thermal nanoimprint (T-NIL) because it uses a thermoplastic resin. In principle, the throughput of T-NIL is lower than that of UV-NIL because T-NIL requires time for heating to reach a low viscosity and cooling. However, T-NIL offers the advantages of lower material cost compared with UV-NIL, and an eco-friendly process that does not require VOC chemicals. Therefore, in this review, recent progress regarding T-NIL in the development of the process (Section 2), mold fabrication including roll molds (Section 3), and materials (Section 4) are discussed to outline possible future directions of T-NIL.

2. Various T-NIL Processes

2.1. Planar T-NIL

Figure 1 depicts the T-NIL process using a planar mold [25]. The mold was fabricated by EBL and made of silicon wafers. First, a thermoplastic layer was formed on a Si substrate by spin-coating. Second, a mold was placed on the substrate. The mold was pressed against the substrate while being heated to its glass transition temperature (Tg), and the thermoplastic layer was deformed according to the mold pattern. Then, the mold and substrate were cooled to less than Tg. Finally, the mold was released from the substrate. Typically, there is a residual layer under the transferred pattern, which must be removed by a plasma etching process to perform the lift-off and an etching process for the substrate. For example, 25 nm diameter and 120 nm period metal dots were fabricated by planar T-NIL and a lift-off process.
In the double-sided process, the substrate is replaced by another mold, as shown in Figure 2. In this process, although the thermoplastic film should provide enough thickness for handling, the transferred patterns are obtained on both sides of the film [26]. Only the surface of the film can be heated to or near its Tg. Heating the entire film above or close to Tg would cause deformation or stretching. The double-sided patterned film is particularly valuable for optical applications. This process can also be applied to thermosetting plastics.
To enhance the throughput of T-NIL, a roll-to-roll web system [27] can be employed, as depicted in Figure 3. The conventional silicon wafer process requires the use of a very expensive wafer transfer robot because silicon wafers are currently incompatible with a web system. By contrast, thermoplastic films are flexible and compatible with the web system. This process allows for the easy combination of T-NIL with the web system. Although planar molds are readily available, the throughput of this system is limited by the time required for heating and cooling during the T-NIL process. Nevertheless, detailed inspection of transferred patterns using cameras is possible. In addition, web tension-related issues are irrelevant in this process as the web is easier to heat stabilize.

2.2. Roller T-NIL

Obtaining planar NIL molds using a conventional lithography process with EBL or photolithography is easy. However, these molds are typically made of silicon wafers or quartz, which are hard materials. A roller T-NIL process using a roll mold [28] is suitable for overcoming the bottleneck of the heating and cooling times in T-NIL processes (Figure 4). Because the roll mold is difficult to fabricate, a replica mold made by nickel electroplating is widely used for roller T-NIL. Here, nickel foil, used for its flexibility, is attached to a roll substrate, and the nickel replica mold has sufficient temperature durability for the T-NIL process. Therefore, the mold pattern can be transferred to the thermoplastic layer coated on a planar substrate, eliminating the need for prolonged heating and cooling. The transferred thermoplastic layer can be fabricated by spin-coating, allowing for sub-µm layer thickness, which can be easily removed by etching after the lift-off process. In this case, tension control for web feeding is not necessary. A softer backing roll helps to increase the contact time between the roll mold and the thermoplastic layer. However, precise temperature, speed, and pressure control are necessary because cooling is achieved naturally and without cooling equipment. In some instances, the pattern can be achieved through the plastic deformation of the thermoplastic layer.

2.3. Roll-to-Roll T-NIL

To further improve the throughput and transferred pattern quality, belt-type T-NIL processes have been proposed [29,30]. These processes require a belt-type flexible mold. A replica mold is widely used for this purpose. Figure 5 shows the schematics of the belt-type T-NIL process using a thermosetting resin such as polydimethylsiloxane (PDMS). A plate heater is used to cure the thermosetting resin. The heater does not require contact with the base film because the tension of the base film facilitates the contact force between the belt mold and the base film. For example, when PDMS is used for thermosetting resin, the curing time is 2–3 min at 130 °C. In this case, a flexible polyurethane acrylate mold is used.
Figure 6 shows the schematics of the belt-type T-NIL process using a thermoplastic film. In this process, the cooling process is crucial to improve the throughput. If the imprinted pattern is released from the mold at a temperature above Tg, the pattern collapses immediately. Thus, cooling rollers or additional cooling equipment are essential for high throughput. In Ogino, et al., dots with a diameter of 200 nm and a height of 240 nm were formed on a 15-meter-long polystyrene sheet within 27 min [30].
Figure 7 illustrates the roll-to-roll T-NIL process using a thermoplastic film [31]. As in other processes using a roll mold, nickel replica molds are attached to rolls equipped with internal heaters. In this process, a thermoplastic film is directly fed into the gap between the roll molds. Alternatively, a pre-heating system is applied before the film is fed into the gap. Because there is no cooling equipment, the system configuration is very simple, resulting in a low unit cost. The mechanism of pattern transfer is mainly based on plastic deformation. Thus, precise temperature control with appropriate pressure is important for obtaining transferred patterns. The contact length in roll-to-roll NIL can be varied by the use of softer backing rolls, providing a longer contact time. For example, a thermoplastic film measuring 95 mm in thickness and 50 mm in width was structured using this method.

2.4. Nanotransfer Printing (nTP)

The above T-NIL processes focus on the fabrication of plastic or resin patterns. However, some sensors, such as SERS sensors, require metal nanopatterns on a plastic substrate. Nanotransfer printing (nTP) [32] is a promising technology for fabricating metal patterns on plastic substrates. The original nTP process is shown in Figure 8a–e. First, a mold is coated with a transferred metal. Next, the coated mold and a plastic substrate are pressed against each other under heating. The plastic substrate is not deformed by the pressure, and only the metal layer on top of the mold is in contact with the plastic substrate. Using the difference in surface tension, the top metal layer is transferred to the plastic substrate. When the mold is pressed against the plastic substrate at high pressure, all metal layers are transferred.
Two-tone nTP has been proposed as an alternative nTP method [33] (Figure 8f–h). Here, after the normal nTP process (positive-tone pattern), the metal layer in the bottom of the mold is transferred using a thermosetting resin (negative-tone pattern). After the nTP process, the mold can be utilized repeatedly. The combination of nTP and roll-to-roll T-NIL realizes eco-friendly metal nanopatterning with high throughput because it does not require solvents and chemicals for lift-off and metal etching.

2.5. Reverse Offset Technology

nTP typically uses a metal layer deposited by physical vapor deposition, which requires a long time to prepare a vacuum condition. As a result, obtaining the metal layer on a large area is difficult. By contrast, reverse offset (RO) technology [34] provides high throughput and high resolution (1 µm) using conductive nanoparticle inks (Figure 9). Furthermore, a roll-to-roll process for RO has been achieved. In this method, control of the surface energy is crucial for the ink pattern transfer.

3. Seamless Roll Mold Fabrication Technique

In principle, the size of the transferred patterns obtained by T-NIL processes depends on the features of the mold. Therefore, the fabrication technique for NIL molds is crucial. Planar NIL molds are typically obtained by photolithography or EBL. However, increasing the throughput of planar T-NIL processes is challenging. Consequently, roll mold fabrication techniques are essential for next-generation T-NIL processes. In particular, seamless roll molds increase the product yield by eliminating wasted space on the transferred substrate caused by seams. In this section, various fabrication techniques for the seamless roll mold are described.

3.1. Advanced Machining Technology

Machining processes have a long history and are the most common technique for micro- and nanopatterning. Single-point diamond turning is a promising method for obtaining a desired pattern on a roll. The diameter of the diamond tip determines the obtained pattern size. Sun et al. applied a focused ion beam to sharpen diamond tips [35]. The mouth width of the machined pattern with the sharpened tip was 447 nm, while its depth was 607 nm. An indenting method using a diamond die was reported by Cates et al. [36]. However, using nanoscale tips or dies for long periods is challenging. Electrical discharge machining (EDM) is an efficient method for micro- and nanopatterning in which the wear of tools is not a concern. For example, wire EDM was performed to fabricate roll molds [37]. However, because the wire diameter was 250 µm, the main pattern width was not nanoscale. On the other hand, EDM can also produce a surface with multi-scale roughness that is applicable as a superhydrophobic surface. Laser beam machining [38], which needs no fine tools or wire, is also useful for obtaining micro-patterns with surface treatment.

3.2. Direct Laser Beam Writing

Direct laser beam writing (DLW) techniques have attracted much attention for obtaining a fine roll mold in air [39]. The difference between direct laser machining and DLW is the latter’s utilization of photoresists. Two-photon direct-laser-writing is a powerful method for sub-nm focusing [40]. The two-photon technique enables the fabrication of three-dimensional (3D) patterns in a single process. Furthermore, stimulated emission depletion DLW results in a pattern line width of 65 nm [41]. Although these methods use a point beam to delineate a designed pattern, laser interference lithography [42] can expose light over a larger area. While the design of interference patterns is difficult, this approach helps improve the writing speed of regular patterns, such as line and space patterns.

3.3. Direct Electron Beam Writing

The minimum pattern width of normal DLW is determined by the diffraction limit. Electron beam (EB) lithography is a promising technique that can potentially obtain a sub-10 nm pattern. Taniguchi et al. presented a rotating stage to write a designed pattern onto a roll substrate [43]. Similar to the conventional EBL process with a planar substrate, metal lift-off is possible with a roll substrate [44]. However, EBL suffers from a slow writing speed and requires vacuum conditions. Therefore, fabricating a large roll mold by direct EB writing in a vacuum chamber is challenging. To overcome this, an enlargement process from a small roll to a large roll mold was investigated [45].

3.4. Self-Assembled Patterns

Self-assembled patterning using anodic aluminum oxide (AAO) [46] and block copolymers [47] is a low-cost nanofabrication technique because it does not require expensive machines. In particular, nanopatterns obtained by AAO facilitate anti-reflection patterns of visible light [48]. Furthermore, the AAO process is adaptable to large-roll molds. Therefore, anti-reflection films can be obtained by roll-to-roll nanoimprints with AAO roll molds. Another method using a self-assembled process is to utilize etching. For example, nanopore-type black silicon is fabricated by one-step silver-assisted chemical etching [49]. Oxygen-plasma etching processes with a glassy carbon substrate [50] present a simpler method because this process does not require rare metals and chemicals. Additionally, a 1.5 m long glassy carbon roll mold with a moth-eye structure was achieved [51]. This large-scale roll mold is useful for continuously producing anti-reflection films via the roll-to-roll process.

4. Key Technology for T-NIL

4.1. Viscoelastic Behavior (N-Curve)

Roll-to-roll T-NIL with roll molds requires the surface temperature of the mold to be less than Tg. This is because higher temperatures cause the web film to stretch after the nip rollers, resulting in the breakage of the thermoplastic film. Therefore, the transferred pattern is obtained by plastic deformation. Notably, self-relaxation of the transferred pattern is observed when the temperature is significantly lower than Tg [52]. Moreover, at temperatures close to Tg, the viscoelastic property of the thermoplastic film is dominant, causing failure of the mold filling [53]. This phenomenon is commonly referred to as the N-curve of the transferred pattern (Figure 10). Ultimately, to achieve the roll-to-roll T-NIL process using roll molds, precise temperature control of the mold (within the target temperature ±5–10 °C) is essential.

4.2. High-Speed Heating and Cooling Method

The heating and cooling times limit the throughput in the T-NIL process. In particular, the heating method is critical to improving the throughput. Hence, a fast T-NIL employing an integrated heater [54], with a total process time of 10 s, was proposed. Laser-heated rolling T-NIL with a roll-to-roll system was demonstrated [55]. Additionally, induction heating was used to heat a nickel mold containing nanohole arrays [56]. The processing time for a 4-inch diameter scale was less than 5 min, and these heating processes aim to reduce thermal capacity. To overcome the thermal cycle time in T-NIL, assisted heating for ultrasonic nanoimprints [57] were also proposed.

4.3. Replica Mold for High-Temperature Durability

As described above, nickel electroplating is widely used to obtain replica molds for roll-to-roll T-NIL. However, electroplating requires a significant amount of time, resulting in a high cost. In addition, treatment of the waste solutions of nickel plating is troublesome. Consequently, replica molds with high-temperature durability and release properties suitable for the T-NIL process are required. PDMS is typically used for replica molds in T-NIL. However, it is a thermosetting resin and thus requires a long time to cure. Hence, organic-inorganic hybrid resins [58] using a release agent were proposed. Because these resins can be cured by UV light, their duplication time is typically shorter than that of PDMS. However, it requires a release agent to prevent the sticking of the transferred pattern. A UV-curable resin used for replica molds with no release agent [59,60] was also studied. Moreover, the roll-to-roll T-NIL process was demonstrated with the replica mold [61].

4.4. Film Preparation and Web System in Roll-to-Roll T-NIL

In roll-to-roll T-NIL processing, film quality plays a crucial role. Common thermoplastic polymers are used, and various parameters such as softening temperature, melting temperature, glass transition temperature, the viscosity of the melted polymer, stringing coefficient, surface energy, bendability, and film thickness affect the replication quality.
The thermoplastic properties of the film determine the maximum temperature that can be used; however, increasing the film thickness makes it possible to achieve temperatures close to Tg if the processing time is kept sufficiently short.
In some cases, the surface energy of the thermoplastic material may be insufficient because of the “flow in capillarity” forces caused by the film itself. From a successful process perspective, the film must be flexible enough to be guided from one roll to another. High thermal expansion of the film may potentially impact the desired size of the pattern; however, this can be accounted for in the design of the mold.
One interesting category of future materials is recyclable or even compostable films. For example, novel films made of nanocellulose have unique features such as high transparency, high-temperature tolerance, and, surprisingly, nanoimprintability. These wood fiber-based materials consist of selected nanometer-scale fibers whose film properties can be tuned to improve foldability or printability. In the case of films made of cellulose nanofiber (CNF), the printed structure remains intact even when the moisture content of the film increases, unlike conventional paper. CNF films can be thermally nanoimprinted [62] or imprinted with the assistance of moisture [63]. The increase in moisture content softens the film’s surface, making it easier to form patterns during the imprinting process.
As previously mentioned, web tension and aligning methods are essential in high-speed roll-to-roll T-NIL. In the printing industry, many feedback methods are used. These methods consider web tension and optimize it in situ. Tension is critical for avoiding web stretching or misalignment and ensuring accurate and reliable patterning.

5. Materials for the T-NIL Process

The most significant advantage of T-NIL is the flexibility of the transferred material and its environmental properties in practical use: temperature durability, transparency, chemical durability, and mechanical strength (Table 3). In this section, materials for T-NIL and the process conditions are surveyed. Two types of materials were mainly used: thermosetting resin and thermoplastic resin. Super engineering plastics attract considerable attention as well, because of their high temperature and mechanical durability.
Poly(methyl) methacrylate (PMMA) is commonly used in optical applications because of its high transparency. However, its Tg is relatively low, typically below 100 °C. Polystyrene is known for its radiation resistance, making it suitable for applications such as Petri dishes and food containers. On one hand, polyethylene terephthalate (PET) is transparent and offers excellent gas barrier properties but has limited resistance to acids, alkalis, and chemicals. On the other hand, polyethylene naphthalate shares similar properties with PET but exhibits higher thermal durability and hydrolysis resistance. Polyethylene (PE) is known for its high chemical durability and low density compared with other plastics. PE also has a low brittle temperature (around −40 °C). Polypropylene (PP) also exhibits high chemical durability and has a higher hardness than PE. However, PP is prone to turning white when exposed to sunlight, indicating poor weather resistance. Cyclic olefin copolymer is valued for its transparency and high refractive index (>1.5), making it suitable for optical lenses. Polycarbonate is known for its excellent impact resistance and self-extinguishing properties. Polyether ether ketone is well known for its exceptional thermal durability (>250 °C) and mechanical properties. It is also suitable for use in water and steam conditions. Polyimide (PI) and polyetherimide (PEI) exhibit high thermal resistance (>300 °C for PI and >170 °C for PEI). However, PEI is more cost-effective compared with PI. Both PI and PEI are transparent materials, although they tend to have a yellowish color. Fluorinated ethylene propylene (FEP) and ethylene tetrafluoroethylene (ETFE) are thermoplastic resins used in various applications. Compared with polytetrafluoroethylene (PTFE), which has excellent chemical durability and low surface tension, the viscosity of melted FEP and ETFE is low. Therefore, FEP and ETFE are available for molding, while PTFE requires a cutting process. Cellulose acetate (CA) is a transparent and biodegradable material. In addition, the UV light resistance of CA is high. Polysulfone and polyethersulfone are commonly employed in medical applications such as dialysis membranes because of their excellent mechanical properties and thermal durability, allowing for autoclave sterilization. Polyvinyl chloride is known for its good weather resistance but has relatively low impact resistance. Furthermore, eco-friendly transparent materials such as CA and CNF are essential for sustainable development.

6. Conclusions and Overview of the Next-Generation T-NIL Process

Various T-NIL processes are introduced, and seamless roll mold fabrication techniques are reviewed. Planar T-NIL is suitable for silicon- or quartz-based processes because of the rigid nature of these materials. By contrast, roller or roll-to-roll type T-NIL is very compatible with fabricating nanopatterns of plastic substrates. In particular, using a T-die extrusion system facilitates continuous nanopatterned production. Because the belt-type roll-to-roll system contains many rolls, it enables easier film temperature control. However, more rolls increase the equipment cost. To date, various fabrication techniques for seamless roll molds have been investigated (as shown in this review). Seamless roll molds are readily available. Flexible replica molds are a suitable choice when the presence of a seam is not an issue in the final products, such as when using a µ-TAS sheet as an individual package. These molds can be easily attached to a roll substrate, offering the advantage of lower mold costs. Consequently, roll-to-roll T-NIL with roll molds is suitable for mass production because of its low equipment cost.
Although the N-curve of the transferred pattern is of concern, precision temperature control of the roll mold can address this issue. To achieve a stable temperature on the curved surface of a roll mold, it is crucial to develop a reliable temperature measurement method. As noted above, flexible nickel or resin replica mold is typically used; however, forming an emissivity coating layer on the replica mold for radiation thermometers is challenging. Therefore, calibration techniques for the measured temperature on a roll mold are required to ensure accurate temperature monitoring.
In the future, a higher throughput roll-to-roll T-NIL process will be needed. Not only local heating methods but also a local active cooling method should be developed. In particular, for non-uniform patterns in large mold areas (e.g., mixed patterns with dense and sparse areas), a new local temperature control method is required to regulate temperature distribution effectively.
In the roll-to-roll T-NIL process, the significant difference in the thermal conductivity between metal roll molds and thermoplastic films helps to generate a temperature gradient in the film, thus preventing deformation. However, polymer replica molds have similar thermal properties to thermoplastic films. Consequently, a new replica mold made of a polymer with a high thermal conductivity should be investigated to reduce mold cost and increase the throughput in roll-to-roll T-NIL. A high-temperature release agent is required for applications involving increased aspect ratios of transferred nanopatterns.
Moreover, to facilitate future sustainable development, such as smart-built environments and wearable systems [84], the T-NIL process for biodegradable plastics must be investigated further.

Author Contributions

Conceptualization, N.U. and T.M.; writing—original draft preparation, N.U.; writing—review and editing, T.M. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Shulaker, M.M.; Hills, G.; Park, R.S.; Howe, R.T.; Saraswat, K.; Wong, H.S.P.; Mitra, S. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 2017, 547, 74–78. [Google Scholar] [CrossRef] [PubMed]
  2. Patabadige, D.E.; Jia, S.; Sibbitts, J.; Sadeghi, J.; Sellens, K.; Culbertson, C.T. Micro total analysis systems: Fundamental advances and applications. Anal. Chem. 2016, 88, 320–338. [Google Scholar] [CrossRef] [PubMed]
  3. Tullii, G.; Giona, F.; Lodola, F.; Bonfadini, S.; Bossio, C.; Varo, S.; Desii, A.; Criante, L.; Sala, C.; Pasini, M.; et al. High-aspect-ratio semiconducting polymer pillars for 3D cell cultures. ACS Appl. Mater. Interfaces 2019, 11, 28125–28137. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  4. Bae, S.I.; Kim, K.; Jang, K.W.; Kim, H.K.; Jeong, K.H. High contrast ultrathin light-field camera using inverted microlens arrays with metal–insulator–metal optical absorber. Adv. Opt. Mater. 2021, 9, 2001657. [Google Scholar] [CrossRef]
  5. Huang, Y.F.; Chattopadhyay, S.; Jen, Y.J.; Peng, C.Y.; Liu, T.A.; Hsu, Y.K.; Pan, C.L.; Lo, H.C.; Hsu, C.H.; Chang, Y.-H.; et al. Improved broadband and quasi-omnidirectional anti-reflection properties with biomimetic silicon nanostructures. Nat. Nanotechnol. 2007, 2, 770–774. [Google Scholar] [CrossRef]
  6. Sarkın, A.S.; Ekren, N.; Sağlam, Ş. A review of anti-reflection and self-cleaning coatings on photovoltaic panels. Sol. Energy 2020, 199, 63–73. [Google Scholar] [CrossRef]
  7. Fakharan, Z.; Dabirian, A. Metal grid technologies for flexible transparent conductors in large-area optoelectronics. Curr. Appl. Phys. 2021, 31, 105–121. [Google Scholar] [CrossRef]
  8. Li, W.D.; Ding, F.; Hu, J.; Chou, S.Y. Three-dimensional cavity nanoantenna coupled plasmonic nanodots for ultrahigh and uniform surface-enhanced Raman scattering over large area. Opt. Express 2011, 19, 3925–3936. [Google Scholar] [CrossRef] [Green Version]
  9. Kang, M.G.; Kim, M.S.; Kim, J.; Guo, L.J. Organic solar cells using nanoimprinted transparent metal electrodes. Adv. Mater. 2008, 20, 4408–4413. [Google Scholar] [CrossRef] [Green Version]
  10. Hossain, M.S.; Li, T.; Yu, Y.; Yong, J.; Bahk, J.H.; Skafidas, E. Recent advances in printable thermoelectric devices: Materials, printing techniques, and applications. RSC Adv. 2020, 10, 8421–8434. [Google Scholar] [CrossRef]
  11. Tan, M.; Liu, W.D.; Shi, X.L.; Sun, Q.; Chen, Z.G. Minimization of the electrical contact resistance in thin-film thermoelectric device. Appl. Phys. Rev. 2023, 10, 021404. [Google Scholar] [CrossRef]
  12. Yang, K.; Shi, J.; Wang, L.; Chen, Y.; Liang, C.; Yang, L.; Wang, L.N. Bacterial anti-adhesion surface design: Surface patterning, roughness and wettability: A review. J. Mater. Sci. Technol. 2022, 99, 82–100. [Google Scholar] [CrossRef]
  13. Hasan, J.; Raj, S.; Yadav, L.; Chatterjee, K. Engineering a nanostructured “super surface” with superhydrophobic and superkilling properties. RSC Adv. 2015, 5, 44953–44959. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  14. Jing, T.; Kim, Y.; Lee, S.; Kim, D.; Kim, J.; Hwang, W. Frosting and defrosting on rigid superhydrohobic surface. Appl. Surf. Sci. 2013, 276, 37–42. [Google Scholar] [CrossRef]
  15. Gao, S.; Huang, H. Recent advances in micro-and nano-machining technologies. Front. Mech. Eng. 2017, 12, 18–32. [Google Scholar] [CrossRef] [Green Version]
  16. Dubey, A.K.; Yadava, V. Laser beam machining—A review. Int. J. Mach. Tools Manuf. 2008, 48, 609–628. [Google Scholar] [CrossRef]
  17. Unno, N.; Taniguchi, J.; Ishii, Y. Sub-100-nm three-dimensional nanoimprint lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2007, 25, 2361–2364. [Google Scholar] [CrossRef]
  18. Taniguchi, J.; Satake, S.I.; Oosumi, T.; Fukushige, A.; Kogo, Y. Dwell time adjustment for focused ion beam machining. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2013, 307, 248–252. [Google Scholar] [CrossRef]
  19. Levinson, H.J. High-NA EUV lithography: Current status and outlook for the future. Jpn. J. Appl. Phys. 2022, 61, SD0803. [Google Scholar] [CrossRef]
  20. Austin, S.; Stone, F.T. Fabrication of thin periodic structures in photoresist: A model. Appl. Opt. 1976, 15, 1071–1074. [Google Scholar] [CrossRef]
  21. Chou, S.Y.; Krauss, P.R.; Renstrom, P.J. Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 1995, 67, 3114–3116. [Google Scholar] [CrossRef] [Green Version]
  22. Haisma, J.; Verheijen, M.; Van Den Heuvel, K.; Van Den Berg, J. Mold-assisted nanolithography: A process for reliable pattern replication. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1996, 14, 4124–4128. [Google Scholar] [CrossRef]
  23. Li, W.D.; Wu, W.; Stanley Williams, R. Combined helium ion beam and nanoimprint lithography attains 4 nm half-pitch dense patterns. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2012, 30, 06F304. [Google Scholar] [CrossRef] [Green Version]
  24. Iwata, J.; Ando, T. Molecular Dynamics Study on Behavior of Resist Molecules in UV-Nanoimprint Lithography Filling Process. Nanomaterials 2022, 12, 2554. [Google Scholar] [CrossRef] [PubMed]
  25. Chou, S.Y.; Krauss, P.R.; Renstrom, P.J. Nanoimprint lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1996, 14, 4129–4133. [Google Scholar] [CrossRef]
  26. Talip, N.B.A.; Taniguchi, J. Fabrication of double-sided self-supporting antireflection-structured film by ultraviolet nanoimprint lithography. Jpn. J. Appl. Phys. 2014, 53, 06JK03. [Google Scholar]
  27. Marumo, T.; Hiwasa, S.; Taniguchi, J. Transfer Durability of Line-Patterned Replica Mold Made of High-Hardness UV-Curable Resin. Nanomaterials 2020, 10, 1956. [Google Scholar] [CrossRef]
  28. Tan, H.; Gilbertson, A.; Chou, S.Y. Roller nanoimprint lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1998, 16, 3926–3928. [Google Scholar] [CrossRef] [Green Version]
  29. Lee, S.H.; Kim, S.W.; Kang, B.S.; Chang, P.S.; Kwak, M.K. Scalable and continuous fabrication of bio-inspired dry adhesives with a thermosetting polymer. Soft Matter 2018, 14, 2586–2593. [Google Scholar] [CrossRef]
  30. Ogino, M.; Hasegawa, M.; Sakaue, K.; Nagai, S.; Miyauchi, A. Fabrication of 200-nm dot pattern on 15-m-long polymer sheet using sheet nanoimprint method. Jpn. J. Appl. Phys. 2013, 52, 035201. [Google Scholar] [CrossRef]
  31. Mäkelä, T.; Haatainen, T.; Majander, P.; Ahopelto, J.; Lambertini, V. Continuous double-sided roll-to-roll imprinting of polymer film. Jpn. J. Appl. Phys. 2008, 47, 5142. [Google Scholar] [CrossRef]
  32. Loo, Y.L.; Willett, R.L.; Baldwin, K.W.; Rogers, J.A. Additive, nanoscale patterning of metal films with a stamp and a surface chemistry mediated transfer process: Applications in plastic electronics. Appl. Phys. Lett. 2002, 81, 562–564. [Google Scholar] [CrossRef] [Green Version]
  33. Unno, N.; Taniguchi, J. Two-tone metal pattern transfer technique using a single mold surface. Microelectron. Eng. 2010, 87, 1019–1023. [Google Scholar] [CrossRef]
  34. Sneck, A.; Mäkelä, T.; Alastalo, A. Reverse-offset for roll-to-roll high-resolution printing. Flex. Print. Electron. 2018, 3, 014001. [Google Scholar] [CrossRef]
  35. Sun, J.; Luo, X.; Chang, W.; Ritchie, J.M.; Chien, J.; Lee, A. Fabrication of periodic nanostructures by single-point diamond turning with focused ion beam built tool tips. J. Micromech. Microeng. 2012, 22, 115014. [Google Scholar] [CrossRef] [Green Version]
  36. Cates, N.; Einck, V.J.; Micklow, L.; Morère, J.; Okoroanyanwu, U.; Watkins, J.J.; Furst, S. Roll-to-roll nanoimprint lithography using a seamless cylindrical mold nanopatterned with a high-speed mastering process. Nanotechnology 2021, 32, 155301. [Google Scholar] [CrossRef]
  37. So, J.Y.; Bae, W.G. Fabrication of superhydrophobic metallic surface by wire electrical discharge machining for seamless roll-to-roll printing. Metals 2018, 8, 228. [Google Scholar] [CrossRef] [Green Version]
  38. Ahmmed, K.T.; Grambow, C.; Kietzig, A.M. Fabrication of micro/nano structures on metals by femtosecond laser micromachining. Micromachines 2014, 5, 1219–1253. [Google Scholar] [CrossRef] [Green Version]
  39. Deubel, M.; Von Freymann, G.; Wegener, M.; Pereira, S.; Busch, K.; Soukoulis, C.M. Direct laser writing of three-dimensional photonic-crystal templates for telecommunications. Nat. Mater. 2004, 3, 444–447. [Google Scholar] [CrossRef]
  40. Coelho, S.; Baek, J.; Walsh, J.; Justin Gooding, J.; Gaus, K. Direct-laser writing for subnanometer focusing and single-molecule imaging. Nat. Commun. 2022, 13, 647. [Google Scholar] [CrossRef]
  41. Fischer, J.; von Freymann, G.; Wegener, M. The materials challenge in diffraction-unlimited direct-laser-writing optical lithography. Adv. Mater. 2010, 22, 3578–3582. [Google Scholar] [CrossRef] [PubMed]
  42. Lu, C.; Lipson, R.H. Interference lithography: A powerful tool for fabricating periodic structures. Laser Photonics Rev. 2010, 4, 568–580. [Google Scholar] [CrossRef]
  43. Taniguchi, J.; Aratani, M. Fabrication of a seamless roll mold by direct writing with an electron beam on a rotating cylindrical substrate. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2009, 27, 2841–2845. [Google Scholar] [CrossRef]
  44. Maruyama, H.; Unno, N.; Taniguchi, J. Fabrication of roll mold using electron-beam direct writing and metal lift-off process. Microelectron. Eng. 2012, 97, 113–116. [Google Scholar] [CrossRef]
  45. Taniguchi, J.; Unno, N.; Maruyama, H. Large-diameter roll mold fabrication method using a small-diameter quartz roll mold and UV nanoimprint lithography. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2011, 29, 06FC08. [Google Scholar] [CrossRef]
  46. Keller, F.; Hunter, M.S.; Robinson, D.L. Structural features of oxide coatings on aluminum. J. Electrochem. Soc. 1953, 100, 411. [Google Scholar] [CrossRef]
  47. Hamley, I.W. Nanostructure fabrication using block copolymers. Nanotechnology 2003, 14, R39. [Google Scholar] [CrossRef]
  48. Yanagishita, T.; Kondo, T.; Nishio, K.; Masuda, H. Optimization of antireflection structures of polymer based on nanoimprinting using anodic porous alumina. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2008, 26, 1856–1859. [Google Scholar] [CrossRef]
  49. Lu, Y.T.; Barron, A.R. Nanopore-type black silicon anti-reflection layers fabricated by a one-step silver-assisted chemical etching. Phys. Chem. Chem. Phys. 2013, 15, 9862–9870. [Google Scholar] [CrossRef]
  50. Taniguchi, J.; Nemoto, Y.; Sugiyama, Y. Fabrication of non reflective structure on glassy carbon surface using oxygen ion beam irradiation. J. Nanosci. Nanotechnol. 2009, 9, 445–449. [Google Scholar] [CrossRef]
  51. Kato, K.; Sugawara, H.; Taniguchi, J. Large-Scale Moth-Eye-Structured Roll Mold Fabrication Using Sputtered Glassy Carbon Layer and Transferred Moth-Eye Film Characterization. Nanomaterials 2023, 13, 1591. [Google Scholar] [CrossRef] [PubMed]
  52. Unno, N.; Mäkelä, T.; Taniguchi, J. Self-relaxation characteristics of roll-to-roll imprinted nanogratings on plastic film. Microelectron. Eng. 2016, 153, 83–87. [Google Scholar] [CrossRef]
  53. Unno, N.; Mäkelä, T.; Taniguchi, J. Thermal roll-to-roll imprinted nanogratings on plastic film. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2014, 32, 06FG03. [Google Scholar] [CrossRef] [Green Version]
  54. Tormen, M.; Malureanu, R.; Pedersen, R.H.; Lorenzen, L.; Rasmussen, K.H.; Lüscher, C.J.; Kristensen, A.; Hansen, O. Fast thermal nanoimprint lithography by a stamp with integrated heater. Microelectron. Eng. 2008, 85, 1229–1232. [Google Scholar] [CrossRef]
  55. Nagato, K.; Yajima, Y.; Nakao, M. Laser-Assisted thermal imprinting of microlens arrays—Effects of pressing pressure and pattern size. Materials 2019, 12, 675. [Google Scholar] [CrossRef] [Green Version]
  56. Fu, X.; Chen, Q.; Chen, X.; Zhang, L.; Yang, A.; Cui, Y.; Yuan, C.; Ge, H. A rapid thermal nanoimprint apparatus through induction heating of nickel mold. Micromachines 2019, 10, 334. [Google Scholar] [CrossRef] [Green Version]
  57. Mekaru, H.; Takahashi, M. Ultrasonic nanoimprint on poly (ethylene terephthalate) at room temperature. Jpn. J. Appl. Phys. 2008, 47, 5178. [Google Scholar] [CrossRef]
  58. Lee, B.K.; Hong, L.Y.; Lee, H.Y.; Kim, D.P.; Kawai, T. Replica mold for nanoimprint lithography from a novel hybrid resin. Langmuir 2009, 25, 11768–11776. [Google Scholar] [CrossRef]
  59. Choi, D.G.; Jeong, J.H.; Sim, Y.S.; Lee, E.S.; Kim, W.S.; Bae, B.S. Fluorinated organic− inorganic hybrid mold as a new stamp for nanoimprint and soft lithography. Langmuir 2005, 21, 9390–9392. [Google Scholar] [CrossRef]
  60. Otsuka, Y.; Hiwasa, S.; Taniguchi, J. Development of release agent-free replica mould material for ultraviolet nanoimprinting. Microelectron. Eng. 2014, 123, 192–196. [Google Scholar] [CrossRef]
  61. Unno, N.; Kakimoto, S.; Mäkelä, T.; Hiwasa, S.; Taniguchi, J. Thermal roll-to-roll nanoimprinting using a replica mold without release agent. J. Adv. Mech. Des. Syst. Manuf. 2018, 12, JAMDSM0101. [Google Scholar] [CrossRef] [Green Version]
  62. Mäkelä, T.; Kainlauri, M.; Willberg-Keyriläinen, P.; Tammelin, T.; Forsström, U. Fabrication of micropillars on nanocellulose films using a roll-to-roll nanoimprinting method. Microelectron. Eng. 2016, 163, 1–6. [Google Scholar] [CrossRef]
  63. Mäkelä, T.; Hokkanen, A.; Sneck, A.; Ruotsalainen, T.; Khakalo, A.; Tammelin, T. Vapour-assisted roll-to-roll nanoimprinting of micropillars on nanocellulose films. Microelectron. Eng. 2020, 225, 111258. [Google Scholar] [CrossRef]
  64. Scheer, H.C.; Bogdanski, N.; Wissen, M.; Möllenbeck, S. Impact of glass temperature for thermal nanoimprint. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2007, 25, 2392–2395. [Google Scholar] [CrossRef]
  65. Gourgon, C.; Philippot, G.; Labau, S.; Tortai, J.H.; Benwadih, M.; Bablet, J. Influence of PEN thermal properties on flexible film patterned by nanoimprint lithography. Microelectron. Eng. 2011, 88, 1959–1963. [Google Scholar] [CrossRef]
  66. Tang, F.; Shao, Z.; Ni, M.; Cui, Y.; Yuan, C.; Ge, H. Fabrication of perforated polyethylene microfiltration membranes for circulating tumor cells separation by thermal nanoimprint method. Appl. Phys. A 2019, 125, 55. [Google Scholar] [CrossRef]
  67. Muanchan, P.; Suzuki, S.; Kyotani, T.; Ito, H. One-dimensional polymer nanofiber arrays with high aspect ratio obtained by thermal nanoimprint method. Polym. Eng. Sci. 2017, 57, 214–223. [Google Scholar] [CrossRef]
  68. Cecchini, M.; Signori, F.; Pingue, P.; Bronco, S.; Ciardelli, F.; Beltram, F. High-resolution poly (ethylene terephthalate) (PET) hot embossing at low temperature: Thermal, mechanical, and optical analysis of nanopatterned films. Langmuir 2008, 24, 12581–12586. [Google Scholar] [CrossRef]
  69. Metwally, K.; Robert, L.; Queste, S.; Gauthier-Manuel, B.; Khan-Malek, C. Roll manufacturing of flexible microfluidic devices in thin PMMA and COC foils by embossing and lamination. Microsyst. Technol. 2012, 18, 199–207. [Google Scholar] [CrossRef]
  70. Asif, M.; Tait, R.N.; Berini, P. Hot embossing of microfluidics in cyclic-olefin co-polymer using a wafer aligner-bonder. Microsyst. Technol. 2021, 27, 3899–3906. [Google Scholar] [CrossRef]
  71. Masciullo, C.; Sonato, A.; Romanato, F.; Cecchini, M. Perfluoropolyether (PFPE) intermediate molds for high-resolution thermal nanoimprint lithography. Nanomaterials 2018, 8, 609. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  72. Osmani, B.; Schift, H.; Vogelsang, K.; Guzman, R.; Kristiansen, P.M.; Crockett, R.; Chacko, A.; Bucher, S.; Töpper, T.; Müller, B. Hierarchically structured polydimethylsiloxane films for ultra-soft neural interfaces. Micro Nano Eng. 2020, 7, 100051. [Google Scholar] [CrossRef]
  73. Martín-Rubio, C.; Rivelles, A.; Schneider, M.; Del Hoyo, J.C.; Privitera, V.; Worgull, M.; Maicas, M.; Sanz, R. Magnetic characterization of Permalloy nanodome surfaces on flexible PEEK/TiO2 vertical nanotubes composites. In IEEE Transactions on Magnetics; IEEE: Piscataway, NJ, USA, 2022. [Google Scholar]
  74. Suresh, V.; Ding, L.; Chew, A.B.; Yap, F.L. Fabrication of large-area flexible SERS substrates by nanoimprint lithography. ACS Appl. Nano Mater. 2018, 1, 886–893. [Google Scholar] [CrossRef]
  75. Zanut, A.; Cian, A.; Cefarin, N.; Pozzato, A.; Tormen, M. Nanoelectrode arrays fabricated by thermal nanoimprint lithography for biosensing application. Biosensors 2020, 10, 90. [Google Scholar] [CrossRef] [PubMed]
  76. Wu, C.C.; Hsu, S.L.C. High density patterns fabricated in low-viscosity thermal-curable epoxy system for thermal-nanoimprint lithography. In 2007 Digest of Papers Microprocesses and Nanotechnology; IEEE: Piscataway, NJ, USA, 2007; pp. 312–313. [Google Scholar]
  77. Cui, B.; Cortot, Y.; Veres, T. Polyimide nanostructures fabricated by nanoimprint lithography and its applications. Microelectron. Eng. 2006, 83, 906–909. [Google Scholar] [CrossRef] [Green Version]
  78. Greer, A.I.; Vasiev, I.; Della-Rosa, B.; Gadegaard, N. Fluorinated ethylene–propylene: A complementary alternative to PDMS for nanoimprint stamps. Nanotechnology 2016, 27, 155301. [Google Scholar] [CrossRef] [Green Version]
  79. Weiss, D.N.; Meyers, S.T.; Keszler, D.A. All-inorganic thermal nanoimprint process. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2010, 28, 823–828. [Google Scholar] [CrossRef]
  80. Kurose, T.; Shishido, H.; Ishigami, A.; Nemoto, A.; Ito, H. Fabrication of high-resolution conductive patterns on a thermally imprinted polyetherimide film by the capillary flow of conductive ink. Microsyst. Technol. 2020, 26, 1981–1986. [Google Scholar] [CrossRef] [Green Version]
  81. Vig, A.L.; Mäkelä, T.; Majander, P.; Lambertini, V.; Ahopelto, J.; Kristensen, A. Roll-to-roll fabricated lab-on-a-chip devices. J. Micromech. Microeng. 2011, 21, 035006. [Google Scholar] [CrossRef]
  82. Striegel, A.; Schneider, M.; Schneider, N.; Benkel, C.; Worgull, M. Seamless tool fabrication for Roll-to-Roll microreplication. Microelectron. Eng. 2018, 194, 8–14. [Google Scholar] [CrossRef]
  83. Hong, S.H.; Hwang, J.Y.; Lee, H.; Lee, H.C.; Choi, K.W. UV nanoimprint using flexible polymer template and substrate. Microelectron. Eng. 2009, 86, 295–298. [Google Scholar] [CrossRef]
  84. Jaiswal, A.K.; Hokkanen, A.; Kumar, V.; Makela, T.; Harlin, A.; Orelma, H. Thermoresponsive nanocellulose films as an optical modulation device: Proof-of-concept. ACS Appl. Mater. Interfaces 2021, 13, 25346–25356. [Google Scholar] [CrossRef] [PubMed]
Figure 1. Thermal nanoimprint (T-NIL) process with a planar mold [25]. (a) alignment; (b) press and heating; (c) mold releasing.
Figure 1. Thermal nanoimprint (T-NIL) process with a planar mold [25]. (a) alignment; (b) press and heating; (c) mold releasing.
Nanomaterials 13 02031 g001
Figure 2. Double-sided T-NIL process using two planar molds. (a) alignment; (b) press and heating; (c) molds releasing.
Figure 2. Double-sided T-NIL process using two planar molds. (a) alignment; (b) press and heating; (c) molds releasing.
Nanomaterials 13 02031 g002
Figure 3. T-NIL process using planar molds with a roll-to-roll web system.
Figure 3. T-NIL process using planar molds with a roll-to-roll web system.
Nanomaterials 13 02031 g003
Figure 4. Roller T-NIL process using a roll mold [28].
Figure 4. Roller T-NIL process using a roll mold [28].
Nanomaterials 13 02031 g004
Figure 5. Belt-type T-NIL process using a thermosetting resin [29].
Figure 5. Belt-type T-NIL process using a thermosetting resin [29].
Nanomaterials 13 02031 g005
Figure 6. Belt-type T-NIL process using a thermoplastic film [30].
Figure 6. Belt-type T-NIL process using a thermoplastic film [30].
Nanomaterials 13 02031 g006
Figure 7. Roll-to-roll T-NIL process using a thermoplastic film [31].
Figure 7. Roll-to-roll T-NIL process using a thermoplastic film [31].
Nanomaterials 13 02031 g007
Figure 8. Nanotransfer printing (nTP) process (ae) [32] and two-tone nTP (fh) [33].
Figure 8. Nanotransfer printing (nTP) process (ae) [32] and two-tone nTP (fh) [33].
Nanomaterials 13 02031 g008
Figure 9. Reverse offset (RO) process for roll-to-roll metal patterning [34].
Figure 9. Reverse offset (RO) process for roll-to-roll metal patterning [34].
Nanomaterials 13 02031 g009
Figure 10. N-curve of the transferred pattern via the roll-to-roll T-NIL process [53].
Figure 10. N-curve of the transferred pattern via the roll-to-roll T-NIL process [53].
Nanomaterials 13 02031 g010
Table 1. Fine patterning techniques.
Table 1. Fine patterning techniques.
MethodPattern SizePattern FlexibilityEquipment CostArea SizeAligning Possibility
/Accuracy
Multilayering PossibilityThroughput
Mechanical CuttingSub-µmVery highMediumMediumSub-micronDifficultLow
Direct writing (Laser)Sub-µmVery highHighSmallSub-micronHighLow
Direct writing (EB and IB)>nmVery highHighSmallNanoscalePossible (IB deposition)Low
Photo lithography>10 nmHighExtremely HighLargeNanoscaleDifficultHigh
Self-assembled patterning>10 nmLowVery lowVery largeDifficultNoHigh
Future desired technique>nmVery highVery lowVery largeNanoscaleHighVery high
Table 2. Differences between the conventional patterning process and the NIL process.
Table 2. Differences between the conventional patterning process and the NIL process.
Hot EmbossInjection MoldingT-NILUV-NIL
Pattern size>Sub-µm>Sub-µm>nm>nm
ApplicabilityThermosetting/
Thermoplastic resin
Thermosetting/
Thermoplastic resin
Thermosetting/
Thermoplastic resin
UV-curable resin
Material costLowLowLowHigh
AreaDepends on the heated mold sizeDepends on the heated mold sizeDepends on the heated mold sizeRestricted by the size of the UV exposure field
MoldTypically hardTypically hardHard/tractile/flexibleHard/soft/flexible
ThroughputLowHighLow
(Planar process)
Very High
Theory
Technological base
Based on continuum mechanicsBased on molecular dynamics [24], like an intermolecular force, surface tension.
Table 3. Materials for T-NIL and process conditions.
Table 3. Materials for T-NIL and process conditions.
Material NameT-NIL TypeProcess
Temperature (°C)
Pressure
(MPa)
Force
(N)
Process TimeRef.
PMMAPlanar20010 3 min[64]
PSPlanar16010 3 min[64]
PSBelt-type RTR120–1501.2 0.57 m/min
Press time 1 s
[30]
PENPlanar2902.5 10 min[65]
PEPlanar1402.7 N/A[66]
PPPlanar165–2255.0 5 min for melting,
30 min for press
[67]
PETPlanar75, 1502.0 300 s[68]
COCRoller70–1100.55 0.5 m/min[69]
COCPlanar1600.62 5 min for thermal equilibrium
30 s for press
[70]
COCPlanar1505 300 s[71]
PEEKPlanar180–280 20 k10 min[72]
PEEKPlanar365 25 k1 min[73]
PCPlanar1605 300 s[74]
PCPlanar18010 10 min[75]
EpoxyPlanar951.2 10 min[76]
PIPlanar2003 2 min[77]
CNFRTR1558.3 0.3 m/min (imprint time 1 s)[62]
FEPPlanar2700.18 5 min[78]
ETFEPlanar2501.38
3.10
10 s
1 min
[79]
PEIPlanar2851.0 3 min[80]
CARTR11513.6 0.2 m/min[81]
PSURTR155 300 N/mm2.1 m/min[82]
PESRTR166 300 N/mm2.1 m/min[82]
PVCPlanar<120<1 N/A[83]
PMMA, Poly(methyl) methacrylate; PS, Polystyrene; PEN, Polyethylene naphthalate; PE, Polyethylene; PP, Polypropylene; PET, Polyethylene terephthalate; COC, Cyclic olefin copolymer; PEEK, Polyether ether ketone; PC, Polycarbonate; PI, polyimide; CNF, Cellulose nano fiber; FEP, Fluorinated Ethylene Propylene; ETFE, Ethylene tetrafluoroethylene; PEI, Polyetherimide; CA, Cellulose Acetate; PSU, Polysulfone; PES, Polyethersulphone; PVC, Polyvinyl chloride.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Unno, N.; Mäkelä, T. Thermal Nanoimprint Lithography—A Review of the Process, Mold Fabrication, and Material. Nanomaterials 2023, 13, 2031. https://doi.org/10.3390/nano13142031

AMA Style

Unno N, Mäkelä T. Thermal Nanoimprint Lithography—A Review of the Process, Mold Fabrication, and Material. Nanomaterials. 2023; 13(14):2031. https://doi.org/10.3390/nano13142031

Chicago/Turabian Style

Unno, Noriyuki, and Tapio Mäkelä. 2023. "Thermal Nanoimprint Lithography—A Review of the Process, Mold Fabrication, and Material" Nanomaterials 13, no. 14: 2031. https://doi.org/10.3390/nano13142031

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop