Next Article in Journal
ULPING-Based Titanium Oxide as a New Cathode Material for Zn-Ion Batteries
Previous Article in Journal
Synergistic Effect of Elliptic Textures and H-DLC Coatings for Enhancing the Tribological Performance of CuAl10Fe5Ni5 Valve Plate Surfaces
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Integration of Self-Assembled Monolayers for Cobalt/Porous Low-k Interconnects

1
Department of Electrical Engineering, National Chi-Nan University, Nanton 54561, Taiwan
2
Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan
3
Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan
*
Author to whom correspondence should be addressed.
Coatings 2024, 14(9), 1162; https://doi.org/10.3390/coatings14091162
Submission received: 5 June 2024 / Revised: 29 August 2024 / Accepted: 30 August 2024 / Published: 9 September 2024

Abstract

:
The integration of self-assembled monolayers (SAM) into cobalt (Co)/porous low-dielectric-constant (low-k) dielectric interconnects is studied in terms of electrical characteristics and reliability in this work. Experimental results indicated that SAM derived from 3-aminopropyltrimethoxysilane (APTMS) improved breakdown field, time-dependent dielectric breakdown, and adhesion for Co/porous low-k integrated interconnects. However, the improvement magnitude was not large as compared to SAM in the Cu/porous low-k integration. Therefore, the integration of SAM into Co/porous low-k interconnects has a positive effect; however, in order to further promote the efficiency of SAM for Co/porous low-k interconnects, the option of precursors for the growth of SAM is required.

1. Introduction

To reduce resistance–capacitance (RC) delay and improve the performance of integrated circuits (ICs), Copper (Cu)/low-dielectric-constant (low-k) dielectric have replaced traditional Al/SiO2 to serve as back-end-of-line (BEOL) interconnects since 0.25 μm technology nodes [1,2,3]. However, further advancing the technology nodes to 10 nm yields a sharp increase in the resistance of Cu lines owing to surface and grain boundary scattering of conduction electrons thereby causing serious RC delay and limiting scaling of Cu metallization [4,5,6].
Additionally, in Cu metallization, barriers are required to completely surround Cu lines in order to stop Cu from diffusing into the SiO2 and low-k dielectric materials. Traditionally, the Ta/TaN bilayer is used as a metallic barrier covering the bottom and side walls of the Cu line [7,8]. Such barriers have much higher resistivity and cannot be scaled synchronously with the Cu line to prevent a loss in performance. Hence, the Ta/TaN bilayer would occupy a large portion of the volume in the scaling dimension of the Cu line, thereby increasing the line resistance.
To address the above-mentioned issues, new metallization systems are required to replace the Cu conductor and Ta/TaN barrier. Recently, cobalt (Co) and self-assembled monolayer (SAM) have attracted more attention to replace the Cu conductor and Ta/TaN barrier, respectively. Compared to Cu, Co has the lower product value of bulk resistivity × electron mean free path, which is expected to exhibit higher conductivity in the limit of a small wire width [9,10]. Additionally, it has a better resistance against electromigration due to a higher melting point than Cu [11,12].
SAM has been demonstrated as a way of sealing the pores to stop Cu diffusion into porous low-k dielectric materials. Additionally, it can serve as an adhesion promoter for Cu interconnects [13,14]. The processing of SAM is a convenient, simple, and versatile technology, which is normally based on the linear chain organic molecules with the designed head and terminal groups. The formed SAM is a molecular monolayer with ordered two-dimensional structures. The integration of SAM into Cu/porous low-k interconnects has been widely investigated [13,14,15,16]. In particular, 3-aminopropyltrimethoxysilane (APTMS)-derived SAM has been demonstrated to provide the best efficiency in the functions of barrier and adhesion for Cu metallization [15,16,17]. The -NH2 terminal group in the formed SAM can react with Cu to form a strong bond, promoting adhesion.
However, to the authors’ knowledge, the integration of SAM into Co/porous low-k interconnects has not been reported yet. Therefore, this study investigates the integration of SAM into Co/porous low-k interconnects. The used porous low-k film was SiCOH material with an introduction of pores and the resulting dielectric constant can be as low as 2.56. SAM was formed at the surface of the porous low-k film by using APTMS organosilane molecules in the vapor phase. Then, Co was deposited by using evaporation. The electrical property and reliability of the integrated Co/SAM/porous low-k structure are characterized. The adhesion ability is evaluated as well

2. Experimental Section

First, the porous low-k films were deposited onto the p-type silicon substrates in this study. The used porous low-k films were deposited by using diethoxymethylsilane (DEMS), oxygen (O2), and alpha-terpinene (ATRP) in a plasma-enhanced chemical vapor deposition (PE-CVD) reactor (Applied Material Corp. producer system (Santa Clara, CA, USA). Here, DEMS and O2 were network matrix precursors, while ATRP served as a sacrificial porogen precursor. DEMS and ATRP precursors were introduced into the reactor by He gas carrier. During the deposition, the temperature, pressure, and power were 300 °C, 1 × 10−4 Pa, and 600 W, respectively. After deposition, ultraviolet curing at 350 °C with 200–450 nm wavelength was performed for 300 s to remove the sacrificial porogen to produce nano-pores within the film [18,19]. The produced porous low-k films were SiOCH materials with an introduction of pores (denoted as p-SiOCH), whose porosity and diameter were 15.5% and 1.35 nm, respectively, determined from the isotherm of ethanol adsorption and desorption using ellipsometric porosimetry. The thickness was controlled at 130.0 ± 10.0 nm, determined by an optical probe system with an ellipsometer (n&k Analyzer 1200; n&k Technology Inc. San Jose, CA, USA) The dielectric constant was 2.56 ± 0.05, determined from capacitance–voltage (CV; HP4280A; Agilent. San Clara, CA, USA) measurement using metal–insulator–silicon (MIS) capacitors.
Following, the porous low-k films were irradiated by O2 plasma in a capacitance-coupled reactor (Junsun, New Taipei, Taiwan). During O2 plasma irradiation, the pressure was maintained at 66.7 Pa, RF power (13.45 MHz) was 50 W, and the flow rate of O2 gas was controlled at 10 sccm. The processing time was 30 s. O2 plasma irradiation turns hydrophobic porous low-k films to be hydrophilic, depleting Si-CH3 bonds and forming Si-OH groups at the film’s surface. The Si-OH groups are favored for the siloxane chemical grafting to form SAM [13,20].
After O2 plasma irradiation, the samples were treated by APTMS molecules in the vapor phase. The used APTMS organic precursor was placed in a vacuum oven, which was heated at 100 °C. The vaporized APTMS molecules were then transported to the reaction chamber by argon gas with a total flow of 30 sccm. The working pressure was kept at 66.7 Pa and the reaction time was fixed at 15 min.
Finally, MIS capacitors were fabricated by depositing Co film onto the surface of the SAMs-formed porous low-k films through a shadow mask. A thermal evaporation method was used to deposit Co electrode. The used Co target was 99.95% in purity. Cu electrode MIS capacitors were also fabricated for reference. The thickness of Co and Cu films was ~100 nm and the formation electrode was square with an area of 9.0 × 10−4 cm2.
The fabricated MIS capacitors were used to perform CV, current–voltage (IV), and time-dependent dielectric breakdown (TDDB) characterizations. CV measurement in a semiconductor parameter analyzer (HP4280A) was made by using two simultaneous voltage sources: an applied AC voltage signal and a DC voltage. The frequency of the AC voltage is 1 MHz; the magnitude of the DC voltage is swept from −40 V to 40 V IV and TDDB measurements were performed by using an electrometer (Keithley 6517A; Austin, TX, USA). During IV measurement, the leakage current was monitored with ramping of the applied voltage with the ramped rate of 0.1 V/s. In the TDDB test, a constant electric field was applied and the leakage current with stressing time was monitored until breakdown. All measurements were performed at room temperature (25 °C). Adhesion was evaluated by using a stud-pull tape test. A total of 80 square dots with a structure of Cu/SAMs/p-SiOCH were adhered by a commercial tape having an adhesive strength of 5 N/cm. Then, peeling-off tests were conducted, and the number of delaminated dots was calculated.

3. Results and Discussion

To compare the adhesion promotion of the formation SAM derived from APTMS for Cu/porous low-k and Co/porous low-k integration, stud-pull tape tests were performed. In this test, the square dots with an area of 30 × 30 μm2 area were adhered using commercial tape having an adhesive strength of 5 N/cm. A total of 80 square dots were tested for each sample. Table 1 presents the results of the stud-pull tape tests. For the samples without the insertion of SAM, the delamination rates were 72.5% and 68.8% for Cu and Co samples, respectively, indicating that poor adhesion between the porous low-k film and Cu or Co. As SAMs were grown onto the p-SiOCH films, the delamination rates significantly decreased, revealing that SAM derived from APTMS is suitable to serve as an adhesive in Cu or Co interconnects. Especially, a 0% delamination rate can be reached for Cu/p-SiOCH integration with the insertion of SAM, attributing to the -NH2 terminal group in the formation of SAM. Cu-N bonding would generate strength the adhesion between p-SiOCH and Cu films [21]. On the other hand, the delamination rate of the Co/p-SiOCH sample with the insertion of SAM was reduced, but the value was 18.8%. Hence, SAM derived from APTMS still can act as an adhesive in Co interconnects. However, its effectiveness is not pronounced as compared to Cu/porous low-k integration. In order to improve the adhesion ability of SAM for Co/porous low-k integration, forming a strong bonding by the reaction between the terminal group and Co is an effective strategy. Therefore, searching for a suitable SAM with adequate terminal groups for Co interconnects is worth further study.
Figure 1 plots the CV curves of Cu electrode and Co electrode MIS capacitors with the pristine and SAM-formed p-SiOCH films. As voltage swept from negative to positive, the measured capacitances were accumulation, transition, and depletion capacitances in order. In the Cu electrode and Co electrode MIS capacitors, the measured accumulation capacitances (C) can be used to determine the dielectric constant (k) of the used dielectric films by using the equation of k = Cd/ε0A. Here, ε0 is absolute capacitivity in vacuum (8.85 × 10−12 F/m), d is film thickness, and A is the area of the capacitor. For the pristine p-SiOCH films in either Cu electrode or Co electrode MIS capacitors, the measure accumulation capacitances were identical with a value of 1.72 × 10−4 F/m2, corresponding to the k value of 2.56. In our previous study, the accumulation capacitance of Co electrode MIS capacitor was higher than that of Cu electrode MIS capacitor provided the Co electrode was prepared by physical vapor sputtering deposition [22]. The sputtering-induced damage on the p-SiOCH film is responsible for the increase in the capacitance. In this study, both Cu and Co were deposited by using the evaporation method, and the resulting accumulation capacitances of both MIS capacitors were lower, representing no/less damage on the p-SiOCH film induced by the deposition of the metal electrode. Before the formation of SAM onto the p-SiOCH film, the film is required to be subjected to O2 plasma irradiation in order to form hydroxyl groups at the film’s surface, favoring the growth of SAM. After O2 plasma irradiation, the k value of the p-SiOCH film increased to 3.72 due to the removal of methyl groups and the generation of hydroxyl groups [23]. The following APTMS vapor treatment for SAM formation decreased the k value to 3.20, however, the k value was larger than that of the pristine p-SiOCH film without SAM. This result reveals that O2 plasma-induced damage on the p-SiOCH film can be partially repaired by SAM technology. A higher k value for SAM-coated p-SiOCH films can be attributed to insufficient SAM grating and pore-stuffing by APTMS molecules. As a result, the processing of SAM should be investigated in-depth in order to achieve complete repair for O2 plasma-induced damage. Similarly, the measured capacitances of SAM-coated p-SiOCH films in the Cu electrode and Co electrode MIS capacitors were comparable, indicating again that the evaporation deposition has no impact on the dielectric film.
Figure 2 plots the IV curves of Cu electrode and Co electrode MIS capacitors with the pristine and SAM-formed p-SiOCH films. In the IV curves, all samples displayed three stages. At first, the leakage current gradually increased with the electric field. Following, the leakage current remained unchanged with increasing the electric field. Finally, the leakage current suddenly jumped to over 10−2 A as the applied field increased to a critical value, which is defined as the breakdown field. For the pristine p-SiOCH films in the Cu electrode and Co electrode MIS capacitors, the leakage currents were similar in the first stage. However, the pristine p-SiOCH film in the Co electrode MIS capacitor showed a lower leakage current in the saturation stage and a larger breakdown field as compared to the pristine p-SiOCH film in the Cu electrode MIS capacitor. For SAM-coated p-SiOCH films in the Cu electrode and Co electrode MIS capacitors, their leakage currents in the first stage displayed different trends. The leakage current increased in the Cu electrode MIS capacitor, while the leakage current decreased in the Co electrode MIS capacitor. Compared to the pristine samples, both Cu electrode and Co electrode MIS capacitors displayed a lower leakage current in the saturation stage and a larger breakdown field, attributing to the formation of SAM, pore-stuffing effect, and interfacial adhesion enhancement [24,25,26]. Comparing the breakdown fields of SAM-coated samples exhibited that the Cu electrode MIS capacitor had a larger breakdown field than the Co electrode MIS capacitor. A larger improvement in the breakdown field for Cu electrode MIS capacitors is attributed to that of the SAM formation derived from APTMS molecules, which has a pronounced reinforcement in the interfacial adhesion between Cu and p-SiOCH films, which is supported by the adhesion measurements presented in Table 1.
TDDB tests were performed to evaluate the long-term reliability of SAM-coated p-SiOCH films in the Cu electrode and Co electrode MIS capacitors. During a TDDB test, a constant voltage (field) is continuously applied to the MIS capacitor, and the leakage current with the stressing time is monitored until the breakdown. The time-to-breakdown (TTF), in which the leakage current suddenly jumps at least three orders of magnitude, is recorded. For the studied Cu electrode and Co electrode MIS capacitors under TDDB tests, four fields were applied, and 12 samples were measured for each condition. The measured TDDB TTFs were analyzed by Weibull distribution to extract the characteristic dielectric breakdown time (T63.2%), which represents the time for 63.2 % sample failure [27,28].
Figure 3 plots TDDB T63.2% as a function of the stressing field for Cu electrode and Co electrode MIS capacitors with the pristine and SAM-coated p-SiOCH films. All samples displayed a decreased TDDB breakdown time with increasing the applied field. No matter in the Cu electrode and Co electrode MIS capacitors, the breakdown times of the samples with SAM were larger than those without SAM, indicating that SAM promotes TDDB reliability of porous low-k films. To compare the improvement efficiency of SAM on Cu/porous low-k and Co/porous low-k integrations, the E model (T63.2%~exp(-γE)) was used to describe TDDB electric field dependence. [29,30]. Here, γ is a field acceleration factor. A larger γ value represents higher electric field dependence, favoring the dielectric reliability operated in a low field. The extracted γ values of Cu electrode and Co electrode MIS capacitors with the pristine and SAM-coated p-SiOCH films are also displayed in Figure 3. For samples without SAM, the γ values were lower than those with SAM. Additionally, the Cu electrode MIS capacitor had a larger γ value than the Co electrode MIS capacitor. As SAM was grown in the p-SiOCH films, the γ value remained unchanged for Cu electrode samples. In the case of Co electrode samples, the γ value enlarged, but still was lower than that in the Cu electrode sample.
A positive polarity electric field was applied on the Cu electrode and Co electrode MIS capacitors with the pristine and SAM-coated p-SiOCH films. After being subjected to electrical stress, the samples were conducted with CV measurements. From the CV curves, the shift of flatband (Vfb), represents that the amount of charged particles trapped in the sample can be determined. Figure 4 plots Vfb shifts as a function of the stressing field for the pristine and SAM-coated p-SiOCH films in the Cu electrode and Co electrode MIS capacitors. The data presented here were collected from five measurements. The stressing time was 103 s. For the pristine p-SiOCH films after positively biased electric stress, Vfb shifted to the negative voltage direction with increasing the stressing field, indicating that positively charged ions (i.e., Cu or Co ions) are introduced into the p-SiOCH film. The Vfb shifting magnitude was larger in the Cu electrode sample than in the Co electrode sample, revealing that Cu has a larger drift rate than Co under the effect of the electric field. The result also elucidates that a barrier is required for Cu or Co interconnects. For the samples with SAM-coated p-SiOCH films, the Vfb shift turned to the positive voltage direction even when the filed increased to 5 MV/cm, indicating that Cu or Co ions do not diffuse into the p-SiOCH film, but negative charges are introduced into the film. As a result, the grown SAM formed by APTMS vapor treatment can act as a barrier for Cu/porous low-k and Co/porous low-k integrations.

4. Conclusions

In this study, SAM derived from APTMS in the vapor phase was integrated into Co/porous low-k interconnects. The electrical characteristics and reliability were characterized by using CV, IV, TDDB, and stud-pull tape tests. SAM derived from APTMS molecules improved the breakdown field, TDDB time-to-breakdown, barrier capacity, and adhesion ability of Co/porous low-k film. Therefore, it can be concluded that SAM is positive for the Co/porous low-k integration scheme. However, the improvement magnitude is not as much as that in the Cu/porous low-k interconnects. In order to promote its maximum efficiency, searching for another SAM precursor molecule with different terminal groups should be made, being the topic of further research.

Author Contributions

Conceptualization, methodology, writing—original draft preparation; Y.-L.C., writing—review and editing; G.-S.C. and J.-S.F., validation, formal analysis: J.K., H.-W.Z. and B.-J.L., investigation: J.K., H.-W.Z. and B.-J.L. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by National Science Council of the Republic of China, Taiwan, Contract No. MOST-111-2221-E-260-010-MY2.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Kim, H.W. Current trend in copper metallization. Electronics 2022, 11, 2914. [Google Scholar] [CrossRef]
  2. Liao, Z.; Gall, M.; Yeap, K.B.; Sander, C.; Mühle, U.; Gluch, J.; Standke, Y.; Aubel, O.; Vogel, N.; Hauschildt, M.; et al. In-situ study of the TDDB-induced damage mechanism in Cu/ultra-low-K interconnect structures. Microelectron. Eng. 2015, 137, 47–53. [Google Scholar] [CrossRef]
  3. Grill, A.; Gates, S.M.; Ryan, T.E.; Nguyen, S.V.; Priyadarshini, D. Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art. Appl. Phys. Rev. 2014, 1, 011306. [Google Scholar] [CrossRef]
  4. Hosseini, M.; Ando, D.; Sutou, Y.; Koike, J. Co and CoTix for contact plug and barrier layer in integrated circuits. Microelectron. Eng. 2018, 189, 78. [Google Scholar] [CrossRef]
  5. Hosseini, M.; Koike, J. Amorphous CoTix as a liner/diffusion barrier material for advanced copper metallization. J. Alloys Compd. 2017, 721, 134–142. [Google Scholar] [CrossRef]
  6. Schuegraf, K.; Abraham, M.C.; Brand, A.; Naik, M.R. Thakur. Semiconductor logic technology innovation to achieve sub-10 nm manufacturing. IEEE J. Electron Devices Soc. 2013, 1, 66–75. [Google Scholar] [CrossRef]
  7. Li, Z.; Tian, Y.; Teng, C.; Cao, H. Recent Advances in Barrier Layer of Cu Interconnects. Materials 2020, 48, 5049. [Google Scholar] [CrossRef]
  8. Wang, Y.; He, P.; Zhang, J.; Yan, J.; Lopaev, D.V.; Qu, X.-P.; Baklanov, M.R. A nondestructive, fast evaluation of PVD diffusion barriers deposited on porous low-k dielectrics. Microelectron. Eng. 2018, 198, 22–28. [Google Scholar] [CrossRef]
  9. Dutta, S.; Beyne, S.; Gupta, A.; Kundu, S.; Van Elshocht, S.; Bender, H.; Jamieson, G.; Vandervorst, W.; Bömmels, J.; Wilson, C.J.; et al. Sub-100nm2 Cobalt Interconnects. IEEE Electron. Device Lett. 2018, 39, 731–734. [Google Scholar] [CrossRef]
  10. Gall, D. Electron mean free path in elemental metals electron mean free path in elemental metals. J. Appl. Phys. 2016, 119, 85101. [Google Scholar] [CrossRef]
  11. Wislicenus, M.; Liske, R.; Gerlich, L.; Vasilev, B.; Preusse, A. Cobalt advanced barrier metallization: A resistivity composition analysis. Microelectron. Eng. 2015, 137, 11–15. [Google Scholar] [CrossRef]
  12. Wu, K.C.; Tseng, J.Y.; Chen, W.J. Electroplated Ru and RuCo films as a copper diffusion barrier. Appl. Surf. Sci. 2020, 516, 146139. [Google Scholar] [CrossRef]
  13. Uedono, A.; Armini, S.; Zhang, Y.; Kakizaki, T.; Krause-Rehberg, R.; Anwand, W.; Wagner, A. Surface sealing using self-assembled monolayers and its effect on metal diffusion in porous low-K dielectrics studied using monoenergetic positron beams. Appl. Surf. Sci. 2016, 368, 272–276. [Google Scholar] [CrossRef]
  14. Brady-Boyd, A.; O’Connor, R.; Armini, S.; Selvaraju, V.; Hughes, G.; Bogan, J. On the use of (3-trimethoxysilylpropyl)diethylenetriamine self-assembled monolayers as seed layers for the growth of Mn based copper diffusion barrier layers. Appl. Surf. Sci. 2018, 427, 260–266. [Google Scholar] [CrossRef]
  15. Sharma, S.; Kumar, M.; Rani, S.; Kumar, D. Deposition and characterization of 3-aminopropyltrimethoxysilane monolayer diffusion barrier for copper metallization. Metall. Mater. Trans. B 2014, 46, 928–932. [Google Scholar] [CrossRef]
  16. Ganesan, P.G.; Singh, A.P.; Ramanath, G. Diffusion barrier properties of carboxyland amine-terminated molecular nanolayers. Appl. Phys. Lett. 2004, 85, 579–581. [Google Scholar] [CrossRef]
  17. Cheng, Y.L.; Lee, C.Y.; Huang, J.W.; Chen, G.S.; Fang, J.S. Comparison of Various Low Dielectric Constant Materials. Thin Solid Films 2018, 660, 871–878. [Google Scholar] [CrossRef]
  18. Cheng, Y.L.; Leon, K.W.; Huang, J.F.; Chang, W.Y.; Chang, Y.M.; Leu, J. Effect of moisture on electrical properties and reliability of low dielectric constant materials. Microelectron. Eng. 2014, 114, 12–16. [Google Scholar] [CrossRef]
  19. Aldakov, D.; Bonnassieux, Y.; Geffroy, B.; Palacin, S. Selective electroless copper deposition on self-assembled dithiol monolayers. ACS Appl. Mater. Interfaces 2009, 1, 584–589. [Google Scholar] [CrossRef]
  20. Cheng, Y.L.; Kao, J.; Zhang, H.W.; Lee, C.Y. Comparison of self-assembled monolayers using 3-Aminopropyltrimethoxysilane and Decyltrimethoxysilane in vapor phase for porous SiOCH dielectrics. Coatings 2023, 13, 507. [Google Scholar] [CrossRef]
  21. Cheng, Y.L.; Huang, H.C.; Lee, C.Y.; Chen, G.S.; Fang, J.S. Comparison of Cu and Co Integration with Porous Low-k SiOCH Dielectric Material. Thin Solid Films 2020, 704, 138010. [Google Scholar] [CrossRef]
  22. Baklanov, M.R.; de Marneffe, J.-F.; Shamiryan, D.; Shi, H.; Huang, H.; Ho, P.S. Plasma processing of low-k dielectrics. J. Appl. Phys. 2013, 113, 041101. [Google Scholar] [CrossRef]
  23. Cheng, Y.L.; Lee, C.Y.; Peng, W.F. Self-assembled monolayers on porous low-k dielectrics by Decyltrimethoxysilane vapor treatment: A perspective from electrical characteristics and time-dependent-dielectric-breakdown reliability. Thin Solid Films 2022, 763, 139559. [Google Scholar] [CrossRef]
  24. Vanstreels, K.; Ciofi, I.; Barbarin, Y.; Baklanov, M. Influence of porosity on dielectric breakdown of ultralow-k dielectrics. J. Vac. Sci. Technol. B 2013, 31, 050604. [Google Scholar] [CrossRef]
  25. Chen, F.; Shinosky, M.A. Electron fluence driven, Cu catalyzed, interface breakdown mechanism for BEOL low-k time dependent dielectric breakdown. Microelectron. Reliab. 2014, 54, 529–540. [Google Scholar] [CrossRef]
  26. Chen, F.; Bravo, O.; Harmon, D.; Shinosky, M.; Aitken, J. Cu/low-k dielectric TDDB reliability issues for advanced CMOS technologies. Microelectron. Reliab. 2008, 48, 1375–1383. [Google Scholar] [CrossRef]
  27. Tierno, D.; Pedreira, O.V.; Wu, C.; Jourdan, N.; Kljucar, L.; Tőkei, Z.; Croes, K. Cobalt and Ruthenium drift in ultra-thin oxides. Microelectron. Reliab. 2019, 100–101, 113407. [Google Scholar] [CrossRef]
  28. Mcpherson, J.W. Time dependent dielectric breakdown physics—Models revisited. Microelectron. Reliab. 2012, 52, 1753–1760. [Google Scholar] [CrossRef]
  29. Zhao, L.; Volders, H.; Baklanov, M.; Tokei, Z.; Pantouvaki, M.; Wilson, C.J.; Besien, E.V.; Beyer, G.P.; Claeys, C. Impact of carbon-doping on time dependent dielectric breakdown of SiO2-based films. Microelectron. Eng. 2011, 88, 3030. [Google Scholar] [CrossRef]
  30. He, M.; Lu, T.-M. Metal-Dielectric Interfaces in Gigascale Electronics: Thermal and Electrical Stability; Springer Series in Materials Science; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2012; Volume 157. [Google Scholar]
Figure 1. CV curves of Cu electrode and Co electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.
Figure 1. CV curves of Cu electrode and Co electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.
Coatings 14 01162 g001
Figure 2. IE curves of Cu electrode and Co electrode MIS capacitors with the pristine and SAM-coated p-SiOCH films.
Figure 2. IE curves of Cu electrode and Co electrode MIS capacitors with the pristine and SAM-coated p-SiOCH films.
Coatings 14 01162 g002
Figure 3. TDDB T63.2% as a function of the applied electric field for Cu electrode and Co electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.
Figure 3. TDDB T63.2% as a function of the applied electric field for Cu electrode and Co electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.
Coatings 14 01162 g003
Figure 4. Flatband voltage shifts of Cu electrode and Co electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films after being subjected to electric stress with varying fields.
Figure 4. Flatband voltage shifts of Cu electrode and Co electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films after being subjected to electric stress with varying fields.
Coatings 14 01162 g004
Table 1. Stud-pull tape test results.
Table 1. Stud-pull tape test results.
SampleStud-Pull Tape ResultSampleStud-Pull Tape Result
Cu/p-SiOCH/Si58/80 (72.5%)Co/p-SiOCH/Si55/80 (68.8%)
Cu/SAM/p-SiOCH/Si0/80 (0%)Co/SAM/p-SiOCH/Si15/80 (18.8%)
Note: Failure/Test samples (Failure rate).
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Cheng, Y.-L.; Kao, J.; Zhang, H.-W.; Liao, B.-J.; Chen, G.-S.; Fang, J.-S. Integration of Self-Assembled Monolayers for Cobalt/Porous Low-k Interconnects. Coatings 2024, 14, 1162. https://doi.org/10.3390/coatings14091162

AMA Style

Cheng Y-L, Kao J, Zhang H-W, Liao B-J, Chen G-S, Fang J-S. Integration of Self-Assembled Monolayers for Cobalt/Porous Low-k Interconnects. Coatings. 2024; 14(9):1162. https://doi.org/10.3390/coatings14091162

Chicago/Turabian Style

Cheng, Yi-Lung, Joe Kao, Hao-Wei Zhang, Bo-Jie Liao, Giin-Shan Chen, and Jau-Shiung Fang. 2024. "Integration of Self-Assembled Monolayers for Cobalt/Porous Low-k Interconnects" Coatings 14, no. 9: 1162. https://doi.org/10.3390/coatings14091162

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop